Filter
Conference contribution

Search results

  • 2019

    Rebooting Computing: The Challenges for Test and Reliability

    Bosio, A., O'Connor, I., Rodrigues, G. S., Lima, F. K., Vatajelu, E. I., di Natale, G., Anghel, L., Nagarajan, S., Fieback, M. C. R. & Hamdioui, S., 2019, 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT). IEEE, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    8 Citations (Scopus)
  • Rebooting Our Computing Models

    Cadareanu, P., Reddy C, N., Almudever, C. G., Khanna, A., Raychowdhury, A., Bertels, K., Narayanan, V., Di Ventra, M. & Gaillardon, P-E., 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE): Proceedings. IEEE, p. 1469-1476 8 p. 8715167

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
  • Refine and recycle: A method to increase decompression parallelism

    Fang, J., Chen, J., Lee, J., Al-Ars, Z. & Hofstee, H. P., 2019, 2019 IEEE 30th International Conference on Application-specific Systems, Architectures and Processors (ASAP): Proceedings. IEEE, p. 272-280 9 p. 8825015. (2019 IEEE 30TH INTERNATIONAL CONFERENCE ON APPLICATION-SPECIFIC SYSTEMS, ARCHITECTURES AND PROCESSORS (ASAP 2019)).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    6 Citations (Scopus)
  • Software-Based Mitigation for Memory Address Decoder Aging

    Kraak, D., Gursoy, C. C., Agbo, I. O., Taouil, M., Jenihhin, M., Raik, J. & Hamdioui, S., 2019, 2019 IEEE Latin American Test Symposium (LATS). Danvers: IEEE, p. 1-6 6 p. 8704595

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    4 Citations (Scopus)
    105 Downloads (Pure)
  • SparkJNI: A Toolchain for Hardware Accelerated Big Data Apache Spark

    Voicu, T. A. & Al-Ars, Z., 2019, 2019 4th IEEE International Conference on Big Data Analytics, ICBDA 2019. Guan, S-U., Zhang, K. & Cao, J. (eds.). Piscataway, NJ, USA: IEEE, p. 152-157 6 p. 8713201

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    4 Citations (Scopus)
  • Sparstition: A partitioning scheme for large-scale sparse matrix vector multiplication on FPGA

    Sigurbergsson, B., Hogervorst, T., Qiu, T. D. & Nane, R., 2019, 2019 IEEE 30th International Conference on Application-specific Systems, Architectures and Processors (ASAP): Proceedings. IEEE, p. 51-58 8 p. 8825125. (2019 IEEE 30TH INTERNATIONAL CONFERENCE ON APPLICATION-SPECIFIC SYSTEMS, ARCHITECTURES AND PROCESSORS (ASAP 2019)).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    10 Citations (Scopus)
  • Supporting Columnar In-memory Formats on FPGA: The Hardware Design of Fletcher for Apache Arrow

    Peltenburg, J., van Straten, J., Brobbel, M., Hofstee, H. P. & Al-Ars, Z., 2019, Applied Reconfigurable Computing: 15th International Symposium, ARC 2019, Proceedings. Hochberger, C., Koch, A., Diniz, P., Woods, R. & Nelson, B. (eds.). Cham: Springer, p. 32-47 16 p. (Lecture Notes in Computer Science; vol. 11444 LNCS).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    13 Citations (Scopus)
    177 Downloads (Pure)
  • Testing Computation-in-Memory Architectures Based on Emerging Memories

    Hamdioui, S., Fieback, M., Nagarajan, S. & Taouil, M., 9 Nov 2019, 2019 IEEE International Test Conference (ITC). IEEE, 10 p. 9000117

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    13 Citations (Scopus)
    173 Downloads (Pure)
  • The FitOptiVis ECSEL Project: Highly Efficient Distributed Embedded Image/Video Processing in Cyber-Physical Systems

    Al-Ars, Z., Basten, T., Beer, A. D., Geilen, M., Goswami, D., Jaäskelaïnen, P., Kadlec, J., Alejandro, M. M., Palumbo, F. & More Authors, 30 Apr 2019, CF'19 : Proceedings of the 16th ACM International Conference on Computing Frontiers. NewYork,NY: Association for Computing Machinery (ACM), p. 333-338 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    31 Citations (Scopus)
  • Time-division Multiplexing Automata Processor

    Yu, J., Du Nguyen, H. A., Abu Lebdeh, M., Taouil, M. & Hamdioui, S., 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE) : Proceedings. IEEE, p. 794-799 6 p. 8715140

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    8 Citations (Scopus)
    232 Downloads (Pure)
  • Towards Reliable and Secure Post-Quantum Co-Processors based on RISC-V

    Fritzmann, T., Sharif, U., Müller-Gritschneder, D., Reinbrecht, C., Schlichtmann, U. & Sepulveda, J., 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE): Proceedings . IEEE, p. 1148-1153 6 p. 8715173

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    34 Citations (Scopus)
  • Untestable faults identification in GPGPUs for safety-critical applications

    Condia, J. E. R., Da Silva, F. A., Hamdioui, S., Sauer, C. & Reorda, M. S., 2019, 2019 26th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2019. Institute of Electrical and Electronics Engineers (IEEE), p. 570-573 4 p. 8964677. (2019 26th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2019).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    3 Citations (Scopus)
    75 Downloads (Pure)
  • Voltage References for the Ultra-Wide Temperature Range from 4.2K to 300K in 40-nm CMOS

    Van Staveren, J., Garcia Almudever, C., Scappucci, G., Veldhorst, M., Babaie, M., Charbon, E. & Sebastiano, F., 1 Sept 2019, ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference. Institute of Electrical and Electronics Engineers (IEEE), p. 37-40 4 p. 8902861. (ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    17 Citations (Scopus)
  • 2018

    A High-Bandwidth Snappy Decompressor in Reconfigurable Logic

    Fang, J., Chen, J., Al-Ars, Z., Hofstee, P. & Hidders, J., 30 Sept 2018, 2018 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ ISSS). IEEE, p. 1-2 2 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    118 Downloads (Pure)
  • A low-cost BRAM-Based function reuse for configurable soft-core processors in FPGAs

    Becker, P. H. E., Sartor, A. L., Brandalero, M., Trevisan Jost, T., Wong, S., Carro, L. & Beck, A. C., 2018, Applied Reconfigurable Computing: Architectures, Tools, and Applications - 14th International Symposium, ARC 2018, Proceedings. Voros, N., Huebner, M., Keramidas, G., Goehringer, D., Antonpoulos, C. & Diniz, P. C. (eds.). Cham: Springer, p. 499-510 12 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 10824 ).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    1 Citation (Scopus)
  • A matrix-multiply unit for posits in reconfigurable logic leveraging (Open)CAPI

    Chen, J., Al-Ars, Z. & Hofstee, H. P., 2018, Proceedings of the Conference for Next Generation Arithmetic, CoNGA 2018. Association for Computing Machinery (ACM), p. 1-5 5 p. 1

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    8 Citations (Scopus)
    48 Downloads (Pure)
  • An Efficient GPU-based de Bruijn Graph Construction Algorithm for Micro-Assembly

    Ren, S., Ahmed, N., Bertels, K. & Al-Ars, Z., 2018, Proceedings - 2018 IEEE 18th annual IEEE International Conference on BioInformatics and BioEngineering (BIBE 2018). Bourbakis, N. G. & Kavraki, D. (eds.). Piscataway, NJ, USA: IEEE, p. 67-72 6 p. 8567459

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    3 Citations (Scopus)
    150 Downloads (Pure)
  • An Industrial Case Study of Low Cost Adaptive Voltage Scaling Using Delay Test Patterns

    Zandrahimi, M., Debaud, P., Castillejo, A. & Al-Ars, Z., 2018, Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE): Proceedings. IEEE, p. 999-1000 2 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • CAPI-Flash Accelerated Persistent Read Cache for Apache Cassandra

    Sendir, B., Govindaraju, M., Odaira, R. & Hofstee, P., 2018, 2018 IEEE 11th International Conference on Cloud Computing (CLOUD). Bilof, R. (ed.). Piscataway: IEEE, p. 220-228 9 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    5 Citations (Scopus)
  • Comparative Analysis of System-Level Acceleration Techniques in Bioinformatics: A Case Study of Accelerating the Smith-Waterman Algorithm for BWA-MEM

    Houtgast, E., Sima, V., Bertels, K. & Al-Ars, Z., 2018, 2018 IEEE 18th International Conference on BioInformatics and BioEngineering (BIBE). Bourbakis, N. G. & Kavraki, D. (eds.). Piscataway, NJ. USA: IEEE, p. 243-246 4 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    5 Citations (Scopus)
  • Complementary arranged graphene nanoribbon-based boolean gates

    Jiang, Y., Laurenciu, N. C. & Cotofana, S., 17 Jul 2018, Proceedings of the 14th IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2018. Cotofana, S. & Sirakoulis, G. C. (eds.). New York, NY, USA: Association for Computing Machinery (ACM), p. 51-57 7 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    5 Citations (Scopus)
  • Cost Effective Adaptive Voltage Scaling Using Path Delay Fault Testing

    Zandrahimi, M., Debaud, P., Castillejo, A. & Al-Ars, Z., 2018, 2018 IEEE East-West Design and Test Symposium ( EWDTS). Danvers: IEEE, p. 1-6 6 p. 8524693

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • Degradation analysis of high performance 14nm FinFET SRAM

    Kraak, D., Agbo, I., Taouil, M., Hamdioui, S., Weckx, P., Cosemans, S. & Catthoor, F., 1 Mar 2018, 2018 Design, Automation Test in Europe Conference Exhibition (DATE). p. 201-206 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    10 Citations (Scopus)
  • Device aging: A reliability and security concern

    Kraak, D., Taouil, M., Hamdioui, S., Weckx, P., Catthoor, F., Chatterjee, A., Singh, A., Wunderlich, H. & Karimi, N., 1 May 2018, 2018 IEEE 23rd European Test Symposium (ETS). p. 1-10 10 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    6 Citations (Scopus)
  • DIM-VEX: Exploiting Design Time Configurability and Runtime Reconfigurability

    Souza, J. D., Sartor, A. L., Carro, L., Rutzig, M. B., Wong, S. & Beck, A. C. S., 2018, Applied Reconfigurable Computing: Architectures, Tools, and Applications - 14th International Symposium, ARC 2018, Proceedings. Voros, N., Huebner, M., Keramidas, G., Goehringer, D., Antonpoulos, C. & Diniz, P. C. (eds.). Cham: Springer, p. 367-378 12 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 10824 ).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    1 Citation (Scopus)
  • Electrical Modeling of STT-MRAM Defects

    Wu, L., Taouil, M., Rao, S., Marinissen, E. J. & Hamdioui, S., 2018, International Test Conference - Proceedings. Piscataway, NJ: IEEE, p. 1-10 10 p. 3.2

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    30 Citations (Scopus)
    40 Downloads (Pure)
  • Evaluating Auto-adaptation Methods for Fine-grained Adaptable Processors

    Hoozemans, J., van Straten, J., Al-Ars, Z. & Wong, S., 2018, Architecture of Computing Systems : ARCS 2018; 31st International Conference on Architecture of Computing Systems. Berekovic, M., Buchty, R., Hamann, H., Koch, D. & Pionteck, T. (eds.). Cham: Springer, p. 255-268 14 p. (Lecture Notes in Computer Science, Also part of the Theoretical Computer Science and General Issues book sub series; vol. 10793).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
  • GPU-based stochastic-gradient optimization for non-rigid medical image registration in time-critical applications

    Bhosale, P., Staring, M., Al-Ars, Z. & Berendsen, F. F., 2018, Medical Imaging 2018: Image Processing. Angelini, E. D. & Landman, B. A. (eds.). Bellingham, WA: SPIE, p. 1-8 105740R. (Proceedings of SPIE; vol. 10574).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    6 Citations (Scopus)
    76 Downloads (Pure)
  • Industrial Evaluation of Transition Fault Testing for Cost Effective Offline Adaptive Voltage Scaling

    Zandrahimi, M., Debaud, P., Castillejo, A. & Al-Ars, Z., 2018, Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE): Proceedings. IEEE, p. 289-292 4 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • Ionizing radiation modeling in DRAM transistors

    Fieback, M., Taouil, M., Hamdioui, S. & Rovatti, M., 2018, 2018 IEEE 19th Latin-American Test Symposium, LATS 2018. IEEE, Vol. 2018-January. p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
  • ISA-DTMR: Selective Protection in Configurable Heterogeneous Multicores

    Erichsen, A. G., Sartor, A. L., Souza, J. D., Pereira, M. M., Wong, S. & Beck, A. C. S., 2018, Applied Reconfigurable Computing: Architectures, Tools, and Applications - 14th International Symposium, ARC 2018, Proceedings. Voros, N., Huebner, M., Keramidas, G., Goehringer, D., Antonpoulos, C. & Diniz, P. C. (eds.). Cham: Springer, p. 231-242 12 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 10824 ).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    1 Citation (Scopus)
  • Memristive devices for computation-in-memory

    Yu, J., Du Nguyen, H. A., Xie, L., Taouil, M. & Hamdioui, S., 2018, Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE): Proceedings. IEEE, p. 1646-1651 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    36 Citations (Scopus)
    89 Downloads (Pure)
  • On Carving Basic Boolean Functions on Graphene Nanoribbons Conduction Maps

    Jiang, Y., Cucu Laurenciu, N. & Cotofana, S., 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS) : Proceedings. Piscataway, NY: IEEE, p. 1-5 5 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    13 Citations (Scopus)
  • On Effective Graphene Based Computing

    Laurenciu, N. C. & Cotofana, S. D., 2018, 2018 41st International Semiconductor Conference, CAS 2018 - Proceedings. Dinescu, M. A., Dobrescu, D., Muller, A., Cristea, D., Dragoman, M., Muller, R., Ciurea, M. L., Neculoiu, D. & Brezeanu, G. (eds.). Piscataway, NJ, USA: Institute of Electrical and Electronics Engineers (IEEE), Vol. 2018-October. p. 51-58 8 p. 8539757

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    1 Citation (Scopus)
    83 Downloads (Pure)
  • Porting and Benchmarking of BWAKIT Pipeline on OpenPOWER Architecture

    Kathiresan, N., Al-Ali, R., Jithesh, P., Narayanasamy, G. & Al-Ars, Z., 2018, High Performance Computing : ISC High Performance 2018 International Workshops, Revised Selected Papers. Yokota, R., Weiland, M., Shalf, J. & Alam, S. (eds.). Cham: Springer, p. 402-410 9 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 11203 ).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • Testing Resistive Memories: Where Are We and What Is Missing?

    Fieback, M., Taouil, M. & Hamdioui, S., 2018, International Test Conference 2018 - Proceedings. Piscataway, NJ: IEEE, p. 1-9 9 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    19 Citations (Scopus)
  • Towards a Scalable Quantum Computer

    Almudever, C. G., Khammassi, N., Hutin, L., Vinet, M., Babaie, M., Sebastiano, F., Charbon, E. & Bertels, K., 2018, Proceedings - 2018 13th IEEE International Conference on Design and Technology of Integrated Systems In Nanoscale Era, DTIS 2018. Piscataway, NJ: IEEE, 1 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    3 Citations (Scopus)
  • Understanding MPSoCs: Exploiting memory microarchitectural vulnerabilities of high performance NoC-based MPSoCs

    Sepulveda, J., Reinbrecht, C., Azad, S. P., Niazmand, B. & Jervan, G., 15 Jul 2018, Proceedings - 2018 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, SAMOS 2018. Mudge, T. & Pnevmatikatos, D. N. (eds.). New York: Association for Computing Machinery (ACM), p. 162-166 5 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    1 Citation (Scopus)
  • 2017

    A Domain-Specific Language and Compiler for Computation-in-Memory Skeletons

    Yu, J., Hogervorst, T. & Nane, R., 2017, GLSVLSI '17 Proceedings of the on Great Lakes Symposium on VLSI 2017 . New York: Association for Computing Machinery (ACM), p. 71-76 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
  • An experience with Chalcogenide memristors, and implications on memory and computer applications

    Escudero-López, M., Amat, E., Rubio, A. & Pouyan, P., 2017, 2016 Conference on Design of Circuits and Integrated Systems (DCIS). Piscataway, NJ: IEEE, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    1 Citation (Scopus)
    85 Downloads (Pure)
  • An experimental microarchitecture for a superconducting qantum processor

    Fu, X., Rol, M. A., Bultink, C. C., Van Someren, J., Khammassi, N., Ashraf, I., Vermeulen, R. F. L., De Sterke, J. C., Vlothuizen, W. J., Schouten, R. N., García Almudever, C., DiCarlo, L. & Bertels, K., 14 Oct 2017, MICRO 2017 - 50th Annual IEEE/ACM International Symposium on Microarchitecture Proceedings. IEEE, Vol. Part F131207. p. 813-825 13 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    69 Citations (Scopus)
    142 Downloads (Pure)
  • Exploring ILP and TLP on a Polymorphic VLIW Processor

    Brandon, A., Hoozemans, J., van Straten, J. & Wong, S., 2017, Architecture of Computing Systems - ARCS 2017: 30th International Conference Proceedings. Knoop, J., Karl, W., Schulz, M., Inoue, K. & Pionteck, T. (eds.). Cham: Springer, p. 177-189 13 p. (Lecture Notes in Computer Science ; vol. 10172).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    5 Citations (Scopus)
  • Fast and accurate workload-level neural network based IC energy consumption estimation

    Cucu Laurenciu, N. & Cotofana, S., 2017, SMACD 2017 - 14th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design. IEEE, p. 1-4 4 p. 7981598

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • GPU Accelerated API for Alignment of Genomics Sequencing Data

    Ahmed, N., Mushtaq, H., Bertels, K. & Al-Ars, Z., Nov 2017, 2017 IEEE International Conference on Bioinformatics and Biomedicine (BIBM). Hu, X., Shyu, C. R., Bromberg, Y., Gao, J., Gong, Y., Korkin, D., Yoo, I. & Zheng, J. H. (eds.). Danvers: IEEE, p. 510-515 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    7 Citations (Scopus)
  • GPU-Accelerated GATK HaplotypeCaller with Load-Balanced Multi-Process Optimization

    Ren, S., Bertels, K. & Al-Ars, Z., 2017, 2017 IEEE 17th International Conference on BioInformatics and BioEngineering (BIBE). Piscataway: IEEE, p. 497-502 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    4 Citations (Scopus)
  • Haar-based interconnect coding for energy effective medium/long range data transport

    Cucu Laurenciu, N. & Cotofana, S., 2017, Proceedings - 30th IEEE International System on Chip Conference, SOCC 2017. Alioto, M., Li, H., Becker, J., Schlichtmann, U. & Sridhar, R. (eds.). Piscataway, NJ: IEEE, p. 375-380 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • High Performance Streaming Smith-Waterman Implementation with Implicit Synchronization on Intel FPGA using OpenCL

    Houtgast, E., Sima, V. & Al-Ars, Z., 2017, 2017 IEEE 17th International Conference on BioInformatics and BioEngineering (BIBE). Piscataway: IEEE, p. 492-496 5 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    12 Citations (Scopus)
  • Interconnect Networks for Resistive Computing Architectures

    Du Nguyen, H. A., Xie, L., Yu, J., Taouil, M. & Hamdioui, S., 2017, 2017 12th International Conference on Design &Technology of Integrated Systems in Nanoscale Era (DTIS). Danvers: IEEE, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    3 Citations (Scopus)
  • LDPC-Based Adaptive Multi-Error Correction for 3D Memories

    Lefter, M., Voicu, G., Marconi, T., Savin, V. & Cotofana, S., 2017, 2017 IEEE International Conference on Computer Design (ICCD). IEEE, Vol. Piscataway. p. 265-268 4 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • Low cost multi-error correction for 3D polyhedral memories

    Lefter, M., Marconi, T., Voicu, G. & Cotofana, S., 2017, 2017 IEEE/ACM International Symposium on Nanoscale Architectures. IEEE, p. 13-18 6 p. 8053722

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    1 Citation (Scopus)