Filter
Conference contribution

Search results

  • 2020

    Using datasets from industrial control systems for cyber security research and education

    Lin, Q., Verwer, S., Kooij, R. & Mathur, A., 2020, Critical Information Infrastructures Security - 14th International Conference, CRITIS 2019, Revised Selected Papers. Nadjm-Tehrani, S. (ed.). Springer, Vol. 11777. p. 122-133 12 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 11777 LNCS).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    6 Citations (Scopus)
    32 Downloads (Pure)
  • Yield Estimation of a Memristive Sensor Array

    Gupta, V., Khandelwal, S., Panunzi, G., Martinelli, E., Hamdioui, S., Jabir, A. & Ottavi, M., 2020, 2020 IEEE 26th International Symposium on On-Line Testing and Robust System Design (IOLTS): Proceedings. IEEE, p. 1-2 2 p. 9159727

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    1 Citation (Scopus)
  • 2019

    A 6.5-GHz Cryogenic All-Pass Filter Circulator in 40-nm CMOS for Quantum Computing Applications

    Ruffino, A., Peng, Y., Sebastiano, F., Babaie, M. & Charbon, E., 2019, 2019 IEEE Radio Frequency Integrated Circuits Symposium (RFIC). IEEE, p. 107-110 4 p. 8701836

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    14 Citations (Scopus)
  • A Computation-In-Memory Accelerator Based on Resistive Devices

    Du Nguyen, H. A., Yu, J., Abu Lebdeh, M., Taouil, M. & Hamdioui, S., 2019, Proceedings of the International Symposium on Memory Systems. New York: Association for Computing Machinery (ACM), p. 19-32 14 p. (ICPS: ACM International Conference Proceeding Series).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
    2 Downloads (Pure)
  • A Fine-Grained Parallel Snappy Decompressor for FPGAs Using a Relaxed Execution Model

    Fang, J., Chen, J., Lee, J., Al-Ars, Z. & Hofstee, P., 2019, 2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM): Proceedings. IEEE, p. 335-335 1 p. 8735518

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    6 Citations (Scopus)
  • A link layer protocol for quantum networks

    Dahlberg, A., Skrzypczyk, M., Coopmans, T., Wubben, L., Rozpdek, F., Pompili, M., Stolk, A., Pawelczak, P., Knegjens, R., De Oliveira Filho, J., Hanson, R. & Wehner, S., 2019, SIGCOMM 2019 - Proceedings of the 2019 Conference of the ACM Special Interest Group on Data Communication. Association for Computing Machinery (ACM), p. 159-173 (SIGCOMM 2019 - Proceedings of the 2019 Conference of the ACM Special Interest Group on Data Communication).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    133 Citations (Scopus)
    114 Downloads (Pure)
  • An Accelerator for Posit Arithmetic Targeting Posit Level 1 BLAS Routines and Pair-HMM

    van Dam, L., Peltenburg, J., Al-Ars, Z. & Hofstee, H. P., 2019, CoNGA'19 Proceedings of the Conference for Next Generation Arithmetic 2019. New York, NY: Association for Computing Machinery (ACM), p. 5:1--5:10 10 p. 5

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    3 Citations (Scopus)
    188 Downloads (Pure)
  • Applications of Computation-In-Memory Architectures based on Memristive Devices

    Hamdioui, S., Du Nguyen, H. A., Taouil, M., Sebastian, A., Le Gallo, M., Pande, S., Schaafsma, S., Catthoor, F., Das, S., G. Redondo, F., Karunaratne, G., Rahimi, A. & Benini, L., 2019, Proceedings of the 2019 Design, Automation and Test in Europe Conference and Exhibition, DATE 2019: Proceedings. IEEE, p. 486-491 6 p. 8715020

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    33 Citations (Scopus)
    997 Downloads (Pure)
  • A pragmatic gaze on stochastic resonance based variability tolerant memristance enhancement

    Ntinas, V., Rubio, A., Sirakoulis, G. C. & Cotofana, S. D., 2019, 2019 IEEE International Symposium on Circuits and Systems, ISCAS 2019 - Proceedings. Institute of Electrical and Electronics Engineers (IEEE), Vol. 2019-May. 5 p. 8702792

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    3 Citations (Scopus)
  • A Quantum Algorithm for Minimising the Effective Graph Resistance upon Edge Addition

    de Ridder, F., Neumann, N., Veugen, T. & Kooij, R., 2019, Quantum Technology and Optimization Problems - 1st International Workshop, QTOP 2019, Proceedings. Feld, S. & Linnhoff-Popien, C. (eds.). Springer, p. 63-73 11 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 11413 LNCS).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    28 Downloads (Pure)
  • A sparse spin qubit array with integrated control electronics

    Boter, J. M., Dehollain, J. P., van Dijk, J. P. G., Hensgens, T., Versluis, R., Clarke, J. S., Veldhorst, M., Sebastiano, F. & Vandersypen, L. M. K., 2019, 2019 IEEE International Electron Devices Meeting, IEDM 2019. Takayanagi, M. (ed.). Institute of Electrical and Electronics Engineers (IEEE), Vol. 2019-December. 4 p. 8993570

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    16 Citations (Scopus)
    71 Downloads (Pure)
  • Atomistic-level hysteresis-aware graphene structures electron transport model

    Wang, H., Cucu Laurenciu, N., Jiang, Y. & Cotofana, S. D., 2019, 2019 IEEE International Symposium on Circuits and Systems, ISCAS 2019 - Proceedings. Institute of Electrical and Electronics Engineers (IEEE), Vol. 2019-May. p. 1-5 5 p. 8702106

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    8 Citations (Scopus)
    1 Downloads (Pure)
  • Benefits and challenges of designing cryogenic CMOS RF circuits for quantum computers

    Mehrpoo, M., Patra, B., Gong, J., T Hart, P. A., Van Dijk, J. P. G., Homulle, H., Kiene, G., Vladimirescu, A., Sebastiano, F., Charbon, E. & Babaie, M., 2019, 2019 IEEE International Symposium on Circuits and Systems (ISCAS). Piscataway, NJ, USA: IEEE, 5 p. 8702452

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    32 Citations (Scopus)
  • CIM-SIM: Computation in Memory SIMuIator

    Banagozar, A., Vadivel, K., Stuijk, S., Corporaal, H., Wong, S., Lebdeh, M. A., Yu, J. & Hamdioui, S., 27 May 2019, SCOPES'19: Proceedings of the 22nd International Workshop on Software and Compilers for Embedded Systems. Stuijk, S. (ed.). New York, NY: Association for Computing Machinery (ACM), p. 1-4 4 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    15 Citations (Scopus)
  • Design and Assessment of Low-Latency Random Access Procedures in 5G Networks

    Raftopoulou, M., Jorguseski, L. & Litjens, R., 2019, 2019 European Conference on Networks and Communications (EuCNC). IEEE Society, p. 406-411 6 p. 8801962

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    3 Citations (Scopus)
  • Device-Aware Test: A New Test Approach Towards DPPB Level

    Fieback, M., Wu, L., Cardoso Medeiros, G., Aziza, H., Rao, S., Marinissen, E. J., Taouil, M. & Hamdioui, S., 9 Nov 2019, 2019 IEEE International Test Conference, ITC 2019. IEEE, 10 p. 9000134. (Proceedings - International Test Conference; vol. 2019-November).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    38 Citations (Scopus)
    137 Downloads (Pure)
  • DFT Scheme for Hard-to-Detect Faults in FinFET SRAMs

    Cardoso Medeiros, G., Taouil, M., Fieback, M., Bolzani Poehls, L. M. & Hamdioui, S., 2019, Proceedings - 2019 IEEE European Test Symposium, ETS 2019: Proceedings. IEEE, Vol. 2019-May. p. 1-2 2 p. 8791517

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    8 Citations (Scopus)
    204 Downloads (Pure)
  • Diminished-1 Fermat Number Transform for Integer Convolutional Neural Networks

    Baozhou, Z., Ahmed, N., Peltenburg, J., Bertels, K. & Al-Ars, Z., 2019, 2019 IEEE 4th International Conference on Big Data Analytics (ICBDA). Guan, S-U., Zhang, K. & Cao, J. (eds.). Piscataway, NJ, USA: IEEE, p. 47-52 6 p. 8713250

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
  • Efficient Methodology for ISO26262 Functional Safety Verification

    Silva, F. A. D., Bagbaba, A. C., Hamdioui, S. & Sauer, C., 1 Jul 2019, 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design, IOLTS 2019. Gizopoulos, D., Alexandrescu, D., Papavramidou, P. & Maniatakos, M. (eds.). Piscataway: IEEE, p. 255-256 2 p. 8854449. (2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design, IOLTS 2019).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    2 Citations (Scopus)
    116 Downloads (Pure)
  • eQASM: An executable quantum instruction set architecture

    Fu, X., Riesebos, L., Rol, M. A., Van Straten, J., Van Someren, J., Khammassi, N., Ashraf, I., Vermeulen, R. F. L., Newsum, V., Loh, K. K. L., De Sterke, J. C., Vlothuizen, W. J., Schouten, R. N., García Almudever, C., Dicarlo, L. & Bertels, K., 2019, Proceedings - 25th IEEE International Symposium on High Performance Computer Architecture, HPCA 2019. Louri, A. & Venkataramani, G. P. (eds.). Piscataway, NJ: IEEE, p. 224-237 14 p. 8675197. (Proceedings - 25th IEEE International Symposium on High Performance Computer Architecture, HPCA 2019).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    49 Citations (Scopus)
  • Evaluating POWER Architecture for Distributed Training of Generative Adversarial Networks

    Hesam, A., Vallecorsa, S., Khattak, G. & Carminati, F., 2019, High Performance Computing - ISC High Performance 2019 International Workshops, Revised Selected Papers. Weiland, M., Juckeland, G., Alam, S. & Jagode, H. (eds.). Springer, p. 432-440 9 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 11887 LNCS).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    1 Citation (Scopus)
  • Fletcher: A framework to efficiently integrate FPGA accelerators with apache arrow

    Peltenburg, J. W., Van Straten, J., Wijtemans, L., Van Leeuwen, L., Al-Ars, Z. & Hofstee, P., 1 Sept 2019, Proceedings - 29th International Conference on Field-Programmable Logic and Applications, FPL 2019. Sourdis, I., Bouganis, C-S., Alvarez, C., Toledo Diaz, L. A., Valero, P. & Martorell, X. (eds.). Institute of Electrical and Electronics Engineers (IEEE), p. 270-277 8 p. 8892145. (Proceedings - 29th International Conference on Field-Programmable Logic and Applications, FPL 2019).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    15 Citations (Scopus)
    227 Downloads (Pure)
  • Hardware-based aging mitigation scheme for memory address decoder

    Kraak, D., Agbo, I., Taouil, M., Hamdioui, S., Weckx, P., Cosemans, S. & Catthoor, F., 2019, 2019 IEEE European Test Symposium (ETS). IEEE, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    7 Citations (Scopus)
    65 Downloads (Pure)
  • Inconsistencies Among Spectral Robustness Metrics

    Wang, X., Feng, L., Kooij, R. E. & Marzo, J. L., 2019, Quality, Reliability, Security and Robustness in Heterogeneous Systems: 14th EAI International Conference, Qshine 2018, Proceedings. Phan, V. C., Duong, T. Q. & Vo, N-S. (eds.). Cham: Springer, p. 119-136 18 p. (Lecture Notes of the Institute for Computer Sciences, Social-Informatics and Telecommunications Engineering, LNICST; vol. 272).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    1 Citation (Scopus)
    38 Downloads (Pure)
  • Kubernetes cluster optimization using hybrid shared-state scheduling framework

    Ungureanu, O. M., Vlădeanu, C. & Kooij, R., 2019, Proceedings of the 3rd International Conference on Future Networks and Distributed Systems, ICFNDS 2019. Association for Computing Machinery (ACM), 12 p. 3341992

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    6 Citations (Scopus)
    44 Downloads (Pure)
  • Machine Learning-Based Processor Adaptability Targeting Energy, Performance, and Reliability

    Sartor, A. L., Exenberger Becker, P. H., Wong, S., Marculescu, R. & Schneider Beck, A. C., 1 Jul 2019, 2019 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2019: Proceedings . O'Conner, L. (ed.). Piscataway: IEEE, p. 158-163 6 p. 8839457

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    1 Citation (Scopus)
  • Memristive Device Based Circuits for Computation-in-Memory Architectures

    Lebdeh, M. A., Reinsalu, U., Du Nguyen, H. A., Wong, S. & Hamdioui, S., 1 May 2019, 2019 IEEE International Symposium on Circuits and Systems (ISCAS). Piscataway, NJ: IEEE, p. 1-5 5 p. 8702542

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    12 Citations (Scopus)
    260 Downloads (Pure)
  • Memristive device modeling and circuit design exploration for computation-in-memory

    Siemon, A., Wouters, D., Hamdioui, S. & Menzel, S., 2019, 2019 IEEE International Symposium on Circuits and Systems, ISCAS 2019 - Proceedings. Institute of Electrical and Electronics Engineers (IEEE), Vol. 2019-May. 8702600

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    16 Citations (Scopus)
  • Methodology for Application-Dependent Degradation Analysis of Memory Timing

    Kraak, D., Agbo, I., Taouil, M., Hamdioui, S., Weckx, P., Cosemans, S. & Catthoor, F., 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE): Proceedings. IEEE, p. 162-167 6 p. 8715143

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    4 Citations (Scopus)
  • Non-Equilibrium Green Function-based Verilog-A Graphene Nanoribbon Model

    Jiang, Y., Laurenciu, N. C. & Cotofana, S. D., 2019, 18th International Conference on Nanotechnology, NANO 2018. Quinn, A., Li, G., Li, W. & Mathewson, A. (eds.). Piscataway, NJ, USA: IEEE, p. 1-4 4 p. 8626396. (Proceedings of the IEEE Conference on Nanotechnology; vol. 2018-July).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    7 Citations (Scopus)
  • On Parallelizing Geometrical PCA Approximation

    Machidon, A. L. I., Ciobanu, C. B., Machidon, O. M. & Ogrutan, P. L., 1 Oct 2019, Proceedings - 2019 18th RoEduNet Conference: Networking in Education and Research, RoEduNet 2019. Istrate, A. & Gasner, P. (eds.). IEEE, Vol. 2019-October. 6 p. 8909644

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    3 Citations (Scopus)
  • Pinhole Defect Characterization and Fault Modeling for STT-MRAM Testing

    Wu, L., Rao, S., Cardoso Medeiros, G., Taouil, M., Marinissen, E. J., Yasin, F., Couet, S., Hamdioui, S. & Kar, G. S., 2019, 2019 IEEE European Test Symposium (ETS): Proceedings. Danvers: IEEE, p. 1-6 6 p. 8791518

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    15 Citations (Scopus)
  • Quantifying the Robustness of Network Controllability

    Sun, P., Van Mieghem, P., Kooij, R. E., He, Z. & Van Mieghem, P., 2019, 2019 4th International Conference on System Reliability and Safety, ICSRS 2019: 20-22 November, Rome, Italy. p. 66-76 11 p. 8987628. (2019 4th International Conference on System Reliability and Safety, ICSRS 2019).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientific

    Open Access
    File
    3 Citations (Scopus)
    58 Downloads (Pure)
  • Quantum accelerated computer architectures

    Riesebos, L., Fu, X., Moueddenne, A. A., Lao, L., Varsamopoulos, S., Ashraf, I., Van Someren, J., Khammassi, N., Almudever, C. G. & Bertels, K., 2019, 2019 IEEE International Symposium on Circuits and Systems, ISCAS 2019 - Proceedings. Yasuura, H., Miyanaga, Y. & Kiya, H. (eds.). Piscataway, NJ, USA: Institute of Electrical and Electronics Engineers (IEEE), Vol. 2019-May. 4 p. 8702488

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    16 Citations (Scopus)
  • Rebooting Computing: The Challenges for Test and Reliability

    Bosio, A., O'Connor, I., Rodrigues, G. S., Lima, F. K., Vatajelu, E. I., di Natale, G., Anghel, L., Nagarajan, S., Fieback, M. C. R. & Hamdioui, S., 2019, 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT). IEEE, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    8 Citations (Scopus)
  • Rebooting Our Computing Models

    Cadareanu, P., Reddy C, N., Almudever, C. G., Khanna, A., Raychowdhury, A., Bertels, K., Narayanan, V., Di Ventra, M. & Gaillardon, P-E., 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE): Proceedings. IEEE, p. 1469-1476 8 p. 8715167

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
  • Refine and recycle: A method to increase decompression parallelism

    Fang, J., Chen, J., Lee, J., Al-Ars, Z. & Hofstee, H. P., 2019, 2019 IEEE 30th International Conference on Application-specific Systems, Architectures and Processors (ASAP): Proceedings. IEEE, p. 272-280 9 p. 8825015. (2019 IEEE 30TH INTERNATIONAL CONFERENCE ON APPLICATION-SPECIFIC SYSTEMS, ARCHITECTURES AND PROCESSORS (ASAP 2019)).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    6 Citations (Scopus)
  • Software-Based Mitigation for Memory Address Decoder Aging

    Kraak, D., Gursoy, C. C., Agbo, I. O., Taouil, M., Jenihhin, M., Raik, J. & Hamdioui, S., 2019, 2019 IEEE Latin American Test Symposium (LATS). Danvers: IEEE, p. 1-6 6 p. 8704595

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    4 Citations (Scopus)
    106 Downloads (Pure)
  • SparkJNI: A Toolchain for Hardware Accelerated Big Data Apache Spark

    Voicu, T. A. & Al-Ars, Z., 2019, 2019 4th IEEE International Conference on Big Data Analytics, ICBDA 2019. Guan, S-U., Zhang, K. & Cao, J. (eds.). Piscataway, NJ, USA: IEEE, p. 152-157 6 p. 8713201

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    4 Citations (Scopus)
  • Sparstition: A partitioning scheme for large-scale sparse matrix vector multiplication on FPGA

    Sigurbergsson, B., Hogervorst, T., Qiu, T. D. & Nane, R., 2019, 2019 IEEE 30th International Conference on Application-specific Systems, Architectures and Processors (ASAP): Proceedings. IEEE, p. 51-58 8 p. 8825125. (2019 IEEE 30TH INTERNATIONAL CONFERENCE ON APPLICATION-SPECIFIC SYSTEMS, ARCHITECTURES AND PROCESSORS (ASAP 2019)).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    10 Citations (Scopus)
  • SPINE (SPIN Emulator)-A Quantum-Electronics Interface Simulator

    Dijk, J. V., Vladimirescu, A., Babaie, M., Charbon, E. & Sebastiano, F., 2019, Proceedings - 2019 8th International Workshop on Advances in Sensors and Interfaces, IWASI 2019. De Venuta, D. (ed.). Institute of Electrical and Electronics Engineers (IEEE), p. 23-28 8791334. (Proceedings - 2019 8th International Workshop on Advances in Sensors and Interfaces, IWASI 2019).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    9 Citations (Scopus)
    527 Downloads (Pure)
  • Subthreshold Mismatch in Nanometer CMOS at Cryogenic Temperatures

    T Hart, P. A., Babaie, M., Charbon, E., Vladimirescu, A. & Sebastiano, F., 1 Sept 2019, 49th European Solid-State Device Research Conference, ESSDERC 2019. Editions Frontieres, Vol. 2019-September. p. 98-101 4 p. 8901745

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    20 Citations (Scopus)
  • Supporting Columnar In-memory Formats on FPGA: The Hardware Design of Fletcher for Apache Arrow

    Peltenburg, J., van Straten, J., Brobbel, M., Hofstee, H. P. & Al-Ars, Z., 2019, Applied Reconfigurable Computing: 15th International Symposium, ARC 2019, Proceedings. Hochberger, C., Koch, A., Diniz, P., Woods, R. & Nelson, B. (eds.). Cham: Springer, p. 32-47 16 p. (Lecture Notes in Computer Science; vol. 11444 LNCS).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    13 Citations (Scopus)
    177 Downloads (Pure)
  • Testing Computation-in-Memory Architectures Based on Emerging Memories

    Hamdioui, S., Fieback, M., Nagarajan, S. & Taouil, M., 9 Nov 2019, 2019 IEEE International Test Conference (ITC). IEEE, 10 p. 9000117

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    13 Citations (Scopus)
    173 Downloads (Pure)
  • The FitOptiVis ECSEL Project: Highly Efficient Distributed Embedded Image/Video Processing in Cyber-Physical Systems

    Al-Ars, Z., Basten, T., Beer, A. D., Geilen, M., Goswami, D., Jaäskelaïnen, P., Kadlec, J., Alejandro, M. M., Palumbo, F. & More Authors, 30 Apr 2019, CF'19 : Proceedings of the 16th ACM International Conference on Computing Frontiers. NewYork,NY: Association for Computing Machinery (ACM), p. 333-338 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    31 Citations (Scopus)
  • Time-division Multiplexing Automata Processor

    Yu, J., Du Nguyen, H. A., Abu Lebdeh, M., Taouil, M. & Hamdioui, S., 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE) : Proceedings. IEEE, p. 794-799 6 p. 8715140

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    8 Citations (Scopus)
    233 Downloads (Pure)
  • Topological Approach to Measure Network Recoverability

    He, Z., Sun, P. & Van Mieghem, P., 2019, Proceedings of 2019 11th International Workshop on Resilient Networks Design and Modeling, RNDM 2019. Ellinas, G., Rak, J. & Goscien, R. (eds.). Institute of Electrical and Electronics Engineers (IEEE), 7 p. 8949119. (Proceedings of 2019 11th International Workshop on Resilient Networks Design and Modeling, RNDM 2019).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    7 Citations (Scopus)
    72 Downloads (Pure)
  • Towards large-scale quantum networks

    Kozlowski, W. & Wehner, S., 2019, Proceedings of the 6th ACM International Conference on Nanoscale Computing and Communication, NANOCOM 2019. Contag, C. & Melodia, T. (eds.). New York, USA: Association for Computing Machinery (ACM), 7 p. 3345497. (Proceedings of the 6th ACM International Conference on Nanoscale Computing and Communication, NANOCOM 2019).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    75 Citations (Scopus)
  • Towards Reliable and Secure Post-Quantum Co-Processors based on RISC-V

    Fritzmann, T., Sharif, U., Müller-Gritschneder, D., Reinbrecht, C., Schlichtmann, U. & Sepulveda, J., 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE): Proceedings . IEEE, p. 1148-1153 6 p. 8715173

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    34 Citations (Scopus)
  • Untestable faults identification in GPGPUs for safety-critical applications

    Condia, J. E. R., Da Silva, F. A., Hamdioui, S., Sauer, C. & Reorda, M. S., 2019, 2019 26th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2019. Institute of Electrical and Electronics Engineers (IEEE), p. 570-573 4 p. 8964677. (2019 26th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2019).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    3 Citations (Scopus)
    76 Downloads (Pure)