Filter
Conference contribution

Search results

  • 2016

    Boolean Logic Gate Exploration for Memristor Crossbar

    Xie, L., Du Nguyen, H. A., Taouil, M., Hamdioui, S. & Bertels, K., 2016, Proceedings - 11th IEEE International Conference on Design and Technology of Integrated Systems in Nanoscale Era, DTIS 2016. Danvers, MA: IEEE, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    13 Citations (Scopus)
  • Challenges of Using On-Chip Performance Monitors for Process and Environmental Variation Compensation

    Zandrahimi, M., Al-Ars, Z., Debaud, P. & Castillejo, A., 2016, Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016. Teich, J. (ed.). Piscataway, NJ: IEEE, p. 1018-1019 2 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    9 Citations (Scopus)
  • CIM100x: Computation in-Memory Architecture Based on Resistive Devices

    Hamdioui, S., Taouil, M., Du Nguyen, H. A., Haron, A., Xie, L. & Bertels, K., 2016, Proceedings of CNNA 2016: 15th International Workshop on Cellular Nanoscale and their Applications. Berlin: VDE, p. 95-96 2 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • Comparative BTI Analysis for Various Sense Amplifier Designs

    Agbo, I., Taouil, M., Hamdioui, S., Weckx, P., Cosemans, S., Raghavan, P. & Catthoor, F., 2016, Proceedings of the 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, DDECS 2016. IEEE, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    9 Citations (Scopus)
  • CryoCMOS Hardware Technology: A Classical Infrastructure for a Scalable Quantum Computer

    Homulle, H., Visser, S., Patra, B., Ferrari, G., Prati, E., García Almudever, C., Bertels, K., Sebastiano, F. & Charbon, E., 2016, 2016 Proceedings of the ACM International Conference on Computing Frontiers. New York, NY: Association for Computing Machinery (ACM), p. 282-287 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    9 Citations (Scopus)
  • Detection of Spatially-Close Fiber Segments in Optical Networks

    Muhammad Iqbal, F., Trajanovski, S. & Kuipers, F., Mar 2016, 2016 12th International Conference on the Design of Reliable Communication Networks (DRCN). Chemouil, P., Pattavina, A., Gourdin, E. & Secci, S. (eds.). Danvers: IEEE, p. 95-102 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    13 Citations (Scopus)
    135 Downloads (Pure)
  • Drift-free video coding for privacy protected video scrambling

    Choupani, R., Wong, S. & Tolun, M., 2016, 10th International Conference on Information, Communications and Signal Processing, ICICS 2015. Piscataway, NJ: IEEE, p. 1-5 5 p. 7459830

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    1 Citation (Scopus)
  • Error Correction Code protected Data Processing Units

    Cucu Laurenciu, N., Gupta, T., Savin, V. & Cotofana, S., 2016, 2016 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH). Zhao, W. & Moritz, C. A. (eds.). New York: Association for Computing Machinery (ACM), p. 37-42 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    5 Citations (Scopus)
  • Exploration of Alternative GPU Implementations of the Pair-HMMs Forward Algorithm

    Ren, S., Bertels, K. & Al-Ars, Z., 2016, Proceedings 3rd International Workshop on High Performance Computing on Bioinformatics. p. 1-8 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientific

    Open Access
    File
    9 Citations (Scopus)
    62 Downloads (Pure)
  • Flexible, Cost-Efficient, High-Throughput Architecture for Layered LDPC Decoders with Fully-Parallel Processing Units

    Nguyen-Ly, T. T., Gupta, T., Pezzin, M., Savin, V., Declercq, D. & Cotofana, S., 2016, Proceedings - 19th Euromicro Conference on Digital System Design (DSD 2016). Kitsos, P. (ed.). Piscataway: IEEE, p. 230-237 7 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    19 Citations (Scopus)
  • From the first loophole-free bell test to a quantum Internet

    Hensen, B., Bernien, H., Dreau, A. E., Reiserer, A., Kalb, N., Blok, M. S., Vermeulen, R. F. L., Schouten, R. N., Elkouss, D., Wehner, S., Taminiau, T. H., Hanson, R. & More Authors, 16 Dec 2016, CLEO: QELS Fundamental Science 2016: FW4C - Symposium on Advances in Single Photon Detection Technology II: Proceedings Conference on Lasers and Electro-Optics. Eggleton, B., Novikova, I., Litchinitser, N. & Polyakov, S. (eds.). Washington, DC, USA: OSA Publishing, p. 1-2 2 p. 7787945

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • GPU-Accelerated BWA-MEM Genomic Mapping Algorithm Using Adaptive Load Balancing

    Houtgast, E., Sima, V., Bertels, K. & Al-Ars, Z., 2016, Proceedings - 29th International Conference on Architecture of Computing Systems, ARCS 2016. Hannig, F., Cardoso, J. M. P., Pionteck, T., Fey, D., Schröder-Preikschat, W. & Teich, J. (eds.). Springer, p. 130-142 13 p. (Lecture Notes in Computer Science; vol. 9637).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    17 Citations (Scopus)
  • Heterogeneous hardware/software acceleration of the BWA-MEM DNA alignment algorithm

    Ahmed, N., Sima, VM., Houtgast, E., Bertels, K. & Al-Ars, Z., 7 Jan 2016, Proceedings of the 2015 IEEE/ACM International Conference on Computer-Aided Design, ICCAD. Marculescu, D. & Lim, F. (eds.). Piscataway, NJ, USA: IEEE Society, p. 240-246 7 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    33 Citations (Scopus)
  • Instruction cache aging mitigation through Instruction Set Encoding

    Gebregiorgis, A., Oboril, F., Tahoori, M. B. & Hamdioui, S., 2016, Proceedings of the 17th International Symposium on Quality Electronic Design, ISQED 2016. Wright, P., Mukhopadhyay, S. & Cline, B. (eds.). Piscataway, NJ: IEEE, p. 325-330 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    1 Citation (Scopus)
  • Maximizing Systolic Array Efficiency to Accelerate the PairHMM Forward Algorithm

    Peltenburg, J., Ren, S. & Al-Ars, Z., Dec 2016, Proceedings - 2016 IEEE International Conference on Bioinformatics and Biomedicine (BIBM). Tian, T., Jiang, Q., Liu, Y., Burrage, K., Song, J., Wang, Y., Hu, X., Morishita, S., Zhu, Q. & Wang, G. (eds.). Piscataway, NJ: IEEE, p. 758-762 5 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    17 Citations (Scopus)
  • Non-Volatile Look-up Table Based FPGA Implementations

    Xie, L., Du Nguyen, H. A., Taouil, M., Hamdioui, S., Bertels, K. & Alfailakawi, M., 2016, Proceedings : 11th IEEE International Design & Test Symposium. Tourki, R. (ed.). Piscataway, NJ, USA: IEEE, 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    13 Citations (Scopus)
  • Parallel Matrix Multiplication on Memristor-Based Computation-in-Memory Architecture

    Haron, A., Yu, J., Nane, R., Taouil, M., Hamdioui, S. & Bertels, K., 2016, 2016 International Conference on High Performance Computing & Simulation (HPCS): 14th Annual Meeting. Piscataway: IEEE, p. 759-766 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    20 Citations (Scopus)
    399 Downloads (Pure)
  • Power-Efficiency Analysis of Accelerated BWA-MEM Implementations on Heterogeneous Computing Platforms

    Houtgast, E. J., Sima, V-M., Marchiori, G., Bertels, K. & Al-Ars, Z., Dec 2016, 2016 International Conference on ReConFigurable Computing and FPGAs (ReConFig). Athanas, P., Cumplido, R., Feregrino, C. & Sass, R. (eds.). Danvers, MA: IEEE, p. 1-8 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    9 Citations (Scopus)
    109 Downloads (Pure)
  • Providing Bandwidth Guarantees with OpenFlow

    Krishna, H., van Adrichem, N. & Kuipers, F., 22 Nov 2016, 2016 Symposium on Communications and Vehicular Technologies (SCVT). Piscataway: IEEE, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    22 Citations (Scopus)
    457 Downloads (Pure)
  • Quantification of Sense Amplifier Offset Voltage Degradation due to Zero-and Run-Time Variability

    Agbo, I., Taouil, M., Hamdioui, S., Weckx, P., Cosemans, S., Raghavan, P., Catthoor, F. & Dehaene, W., 2016, Proceedings - IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2016). Taskin, B. & Ghosal, P. (eds.). Los Alamitos, CA: IEEE, p. 725-730 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    18 Citations (Scopus)
  • Read Path Degradation Analysis in SRAM

    Agbo, I., Taouil, M., Hamdioui, S., Weckx, P., Cosemans, S., Catthoor, F. & Dehaene, W., 2016, Proceedings - 21st IEEE European Test Symposium, ETS 2016. Danvers, MA: IEEE, p. 1-2 2 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    9 Citations (Scopus)
  • RRAM Variability and its Mitigation Schemes

    Pouyan, P., Amat, E., Hamdioui, S. & Rubio, A., 2016, 26th International Workshop on Power and Timing Modeling, Optimization and Simulation, PATMOS 2016. Piscataway, NJ: IEEE, p. 141-146 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    17 Citations (Scopus)
    137 Downloads (Pure)
  • Run-time Phase Prediction for a Reconfigurable VLIW Processor

    Guo, Q., Sartor, A., Brandon, A., Beck, A. C. S., Zhou, X. & Wong, S., 2016, Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016. Teich, J. (ed.). Piscataway, NJ: IEEE, p. 1634-1639 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    6 Citations (Scopus)
  • Skeleton-based design and simulation flow for Computation-in-Memory architectures

    Yu, J., Nane, R., Haron, A., Hamdioui, S., Corporaal, H. & Bertels, K., 2016, 2016 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH). Zhao, W. & Moritz, C. A. (eds.). New York: Association for Computing Machinery (ACM), p. 165-170 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    10 Citations (Scopus)
    60 Downloads (Pure)
  • Spatiotemporal Risk-Averse Routing

    Muhammad Iqbal, F. & Kuipers, F., Apr 2016, 2016 IEEE Conference on Computer Communications Workshops (INFOCOM WKSH): 2016 IEEE Infocom CPSS Workshop. Piscataway, NJ: IEEE, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    12 Citations (Scopus)
    156 Downloads (Pure)
  • Synthesizing HDL to Memristor Technology: A Generic Framework

    Du Nguyen, H. A., Xie, L., Taouil, M., Hamdioui, S. & Bertels, K., 2016, 2016 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH). Zhao, W. & Moritz, C. A. (eds.). New York: Association for Computing Machinery (ACM), p. 43-48 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    11 Citations (Scopus)
  • Technology-related Disasters: A Survey towards Disaster-resilient Software Defined Networks

    Mas Machuca, C., Secci, S., Vizarreta, P., Kuipers, F., Gouglidis, A., Hutchison, D., Jouet, S., Pezaros, D., Elmokashfi, A., Heegaard, P., Ristov, S. & Gusev, M., 2016, 2016 8th International Workshop on Resilient Networks Design and Modeling (RNDM). Jonsson, M., Rak, J., Somani, A., Papadimitriou, D. & Vinel, A. (eds.). Halmstad, Sweden: IEEE, p. 35-42 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    19 Citations (Scopus)
    166 Downloads (Pure)
  • TFET NDR Skewed Inverter based Sensing Method

    Gupta, N., Makosiej, A., Vladimirescu, A., Amara, A., Cotofana, S. & Anghel, C., 2016, 2016 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH). Zhao, W. & Moritz, C. A. (eds.). New York: Association for Computing Machinery (ACM), p. 13-14 2 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    4 Citations (Scopus)
  • The Fidelity Slider: A User-Defined Method to Trade off Accuracy for Performance in Canny Edge Detector

    Kritchallo, V., Vermij, E., Bertels, K. & Al-Ars, Z., 2016, 11th HiPEAC conference. p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientific

    Open Access
    File
    38 Downloads (Pure)
  • Towards Robust Implementation of Memristor Crossbar Logic Circuits

    Xie, L., 2016, 2016 12th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME). Piscataway., NJ: IEEE, p. 1-4 4 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    4 Citations (Scopus)
  • Using wavelet transform self-similarity for effective multiple description video coding

    Choupani, R., Wong, S. & Tolun, M., 2016, 10th International Conference on Information, Communications and Signal Processing, ICICS 2015. Piscataway, NJ: IEEE, p. 1-5 5 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • 2015

    200 MS/s ADC implemented in a FPGA employing TDCs

    Homulle, H., Regazzoni, F. & Charbon, E., 2015, Proceedings of the 23rd ACM/SIGDA International Symposium on Field-Programmable Gate Arrays. Chen, D. (ed.). New York: ACM/SIGDA, p. 228-235 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    20 Citations (Scopus)
  • Accelerating complex brain-model simulations on GPU platforms

    Nguyen, HAD., Al-Ars, Z., Smaragdos, G. & Strydis, C., 2015, Proceedings of the 2015 Design, Automation and Test in Europe Conference and Exhibition. Nebel, W. (ed.). Piscataway, NJ, USA: IEEE Society, p. 974-979 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    12 Citations (Scopus)
  • Aging mitigation in memory arrays using self-controlled bit-flipping technique

    Gebregiorgis, A., Ebrahimi, M., Kiamehr, S., Oboril, F., Hamdioui, S. & Tahoori, MB., 2015, Proceedings - 20th Asia and South Pacific Design Automation Conference. Uchiyama, K. (ed.). Piscataway, NJ, USA: IEEE Society, p. 231-236 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    37 Citations (Scopus)
  • A network approach for power grid robustness against cascading failures

    Koc, Y., Kooij, RE., Van Mieghem, PFA. & Wang, X., 2015, Proceedings of RNDM 2015, 7th International Workshop on Reliable Networks Design and Modeling. Rak, J., Mas Machuca, C., Oki, E., Papadimitriou, D., Vinel, A. & Walkowiak, K. (eds.). Piscataway: IEEE Society, p. 208-214 7 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    31 Citations (Scopus)
  • An FPGA-based systolic array to accelerate the BWA-MEM genomic mapping algorithm

    Houtgast, E., Sima, VM., Bertels, K. & Al-Ars, Z., 28 Dec 2015, Proceedings of the International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS XV. Soudris, D. & Carro, L. (eds.). Piscataway, NJ, USA: IEEE Society, p. 221-227 7 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    43 Citations (Scopus)
    155 Downloads (Pure)
  • An SDN-based Architecture for network-as-a-service

    Manthena, MPV., van Adrichem, NLM., van den Broek, C. & Kuipers, FA., 2015, Proceedings of the 1st IEEE conference on network softwarization, IEEE NetSoft 2015. Boutaba, R. & Galis, A. (eds.). Piscataway: IEEE Society, p. 1-5 5 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    207 Downloads (Pure)
  • A Pragmatic Approach to Impairment Awareness in Optical Networks

    Iqbal, F., Smets, R. & Kuipers, FA., 2015, Proceedings of the 20th European conference on Network and Optical Communications, NOC 2015. Alvarado, A. & Mitchell, J. (eds.). Piscataway: IEEE Society, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    1 Citation (Scopus)
    128 Downloads (Pure)
  • A shared polyhedral cache for 3D wide-I/O multi-core computing platforms

    Lefter, M., Voicu, GR. & Cotofana, SD., 2015, Proceedings - 2015 IEEE International Symposium on Circuits and Systems. de Medeiras Silva, M. (ed.). Piscataway, NJ, USA: IEEE Society, p. 425-428 4 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    2 Citations (Scopus)
  • A sparse VLIW instruction encoding scheme compatible with generic binaries

    Brandon, A., Hoozemans, J., Van Straten, J., Lorenzon, A., Sartor, A., Schneider Beck, A. C. & Wong, S., 7 Dec 2015, 2015 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015. Institute of Electrical and Electronics Engineers (IEEE), 7 p. 7393361

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    3 Citations (Scopus)
  • Asynchronous Charge Sharing Power Consistent Montgomery Multiplier

    Chen, J., Tisserand, A., Popovici, E. & Cotofana, SD., 2015, Proceedings of the 21st IEEE International Symposium on Asynchronous Circuits and Systems. Jones, IW. & Sparso, J. (eds.). Piscataway: IEEE Society, p. 132-138 7 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
  • Beamforming in sparse, random, 3D array antennas with fluctuating element locations

    Bentum, M. J., Lager, I. E., Bosma, S., Bruinsma, W. P. & Hes, R., 2015, 2015 9th European Conference on Antennas and Propagation, EuCAP 2015. Piscataway, NJ: IEEE, p. 1-5 5 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    2 Citations (Scopus)
    51 Downloads (Pure)
  • Bit-Flip Aware Control-Flow Error Detection

    Nazarian, G., Rodrigues, DG., Moreira, A., Carro, L. & Gaydadjiev, GN., 2015, Proceedings of the 23rd Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2015. Daneshtalab, M., Aldinucci, M., Leppanen, V., Lilius, J. & Brorsson, M. (eds.). Piscataway: IEEE Society, p. 215-221 7 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    6 Citations (Scopus)
  • BTI analysis of SRAM write driver

    Agbo, IO., Taouil, M., Hamdioui, S., Weckx, P., Cosemans, S. & Catthoor, F., 2015, Proceedings of the 10th International Design and Test Symposium, IDT 2015. Kurdahi, F., Mir, S. & Yu, MO. (eds.). Piscataway: IEEE Society, p. 100-105 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    6 Citations (Scopus)
  • Calculation of worst-case execution time for multicore processors using deterministic execution

    Mushtaq, H., Al-Ars, Z. & Bertels, K., 2015, Proceedings of the 2015 25th International Workshop on Power and Timing Modeling, Optimization and Simulation, PATMOS. Reis, R. & Nunes de Lima, R. (eds.). Piscataway: IEEE Society, p. 33-39 7 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    2 Citations (Scopus)
  • Cluster-based Apache Spark implementation of the GATK DNA analysis pipeline

    Mushtaq, H. & Al-Ars, Z., 2015, Proceedings of the International Conference on Bioinformatics and Biomedicine. Huan, J., Miyano, S. & Shehu, A. (eds.). Piscataway: IEEE Society, p. 1471-1477 7 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    29 Citations (Scopus)
  • Communication-Aware Parallelization Strategies for High Performance Applications

    Ashraf, I., Bertels, K., Khammassi, N. & le Lann, JC., 2015, Proceedings of the IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2015. Mohanty, SP. & Belleville, M. (eds.). Piscataway: IEEE Society, p. 539-444 94 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
  • Comparative analysis of RD and Atomistic trap-based BTI models on SRAM Sense Amplifier

    Agbo, IO., Taouil, M., Hamdioui, S., Cosemans, S., Weckx, P., Raghavan, P. & Catthoor, F., 2015, Proceedings - 10th IEEE International Conference on Design and Technology of Integrated Systems in Nanoscale Era, DTIS 2015. Casola, V. (ed.). Piscataway, NJ, USA: IEEE Society, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    3 Citations (Scopus)
  • Computation-in-memory based parallel adder

    Du Nguyen, HA., Xie, L., Taouil, M., Nane, R., Hamdioui, S. & Bertels, K., 2015, Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH. Moritz, CA. & Rahman, M. (eds.). Piscataway: IEEE Society, p. 57-62 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    21 Citations (Scopus)
  • Design dependent SRAM PUF robustness analysis

    Cortez, AMMO., Hamdioui, S. & Ishihara, R., 2015, Proceedings - 16th IEEE Latin-American Test Symposium. Champac, V. & Zorian, Y. (eds.). Piscataway, NJ, USA: IEEE Society, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    5 Citations (Scopus)