Filter
Conference contribution

Search results

  • 2024

    Accelerating Large-Scale Graph Processing with FPGAs: Lesson Learned and Future Directions

    Procaccini, M., Sahebi, A., Barbone, M., Luk, W., Gaydadjiev, G. & Giorgi, R., 2024, 15th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures - 13th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, PARMA-DITAM 2024. Bispo, J., Xydis, S., Curzel, S. & Sousa, L. M. (eds.). Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing, 12 p. 6. (OpenAccess Series in Informatics; vol. 116).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    18 Downloads (Pure)
  • 2023

    High-Level Synthesis versus Hardware Construction

    Kamkin, A., Chupilko, M., Lebedev, M., Smolov, S. & Gaydadjiev, G., 2023, 2023 Design, Automation and Test in Europe Conference and Exhibition, DATE 2023 - Proceedings. Institute of Electrical and Electronics Engineers (IEEE)

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • SparseMEM: Energy-efficient Design for In-memory Sparse-based Graph Processing

    Zahedi, M., Custers, G., Shahroodi, T., Gaydadjiev, G., Wong, S. & Hamdioui, S., 2023, Proceedings of the 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE). Piscataway: IEEE, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    3 Citations (Scopus)
    19 Downloads (Pure)
  • 2022

    Effect of Slot Type Identification on Frame Length Optimization

    Salah, H. & Gaydadjiev, G., 2022, 2022 IEEE Topical Conference on Wireless Sensors and Sensor Networks, WiSNeT 2022. Institute of Electrical and Electronics Engineers (IEEE), p. 54-57 4 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
  • 2021

    Efficient Online 4D Magnetic Resonance Imaging

    Barbone, M., Wetscherek, A., Yung, T., Oelfke, U., Luk, W. & Gaydadjiev, G., 2021, Proceedings - 2021 IEEE 33rd International Symposium on Computer Architecture and High Performance Computing, SBAC-PAD 2021. IEEE, p. 177-187 11 p. (Proceedings - Symposium on Computer Architecture and High Performance Computing).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
  • Efficient Table-Based Polynomial on FPGA

    Barbone, M., Kwaadgras, B. W., Oelfke, U., Luk, W. & Gaydadjiev, G., 2021, Proceedings - 2021 IEEE 39th International Conference on Computer Design, ICCD 2021. Institute of Electrical and Electronics Engineers (IEEE), p. 374-382 9 p. (Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors; vol. 2021-October).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
  • 2020

    LEGaTO: Low-Energy, Secure, and Resilient Toolset for Heterogeneous Computing

    Salami, B., Parasyris, K., Cristal, A., Unsal, O., Martorell, X., Carpenter, P., De La Cruz, R., Bautista, L., Gaydadjiev, G. & More Authors, Mar 2020, Proceedings of the 2020 Design, Automation and Test in Europe Conference and Exhibition, DATE 2020. Di Natale, G., Bolchini, C. & Vatajelu, E-I. (eds.). Institute of Electrical and Electronics Engineers (IEEE), p. 169-174 6 p. 9116362. (Proceedings of the 2020 Design, Automation and Test in Europe Conference and Exhibition, DATE 2020).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    6 Citations (Scopus)
  • 2019

    Low Area Overhead Custom Buffering for FFT

    Voss, N., Girdlestone, S., Becker, T., Mencer, O., Luk, W. & Gaydadjiev, G., Dec 2019, 2019 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2019. Andrews, D., Cumplido, R., Feregrino, C. & Platzner, M. (eds.). Institute of Electrical and Electronics Engineers (IEEE), 8994775. (2019 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2019).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
  • Memory mapping for multi-die FPGAS

    Voss, N., Quintana, P., Mencer, O., Luk, W. & Gaydadjiev, G., 1 Apr 2019, Proceedings - 27th IEEE International Symposium on Field-Programmable Custom Computing Machines, FCCM 2019. Institute of Electrical and Electronics Engineers (IEEE), p. 78-86 9 p. 8735557. (Proceedings - 27th IEEE International Symposium on Field-Programmable Custom Computing Machines, FCCM 2019).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    9 Citations (Scopus)
  • Towards real time radiotherapy simulation

    Voss, N., Ziegenhein, P., Vermond, L., Hoozemans, J., Mencer, O., Oelfke, U., Luk, W. & Gaydadjiev, G., 1 Jul 2019, Proceedings - 2019 IEEE 30th International Conference on Application-Specific Systems, Architectures and Processors, ASAP 2019. Institute of Electrical and Electronics Engineers (IEEE), Vol. 2019-July. p. 173-180 8 p. 8825146. (2019 IEEE 30TH INTERNATIONAL CONFERENCE ON APPLICATION-SPECIFIC SYSTEMS, ARCHITECTURES AND PROCESSORS (ASAP 2019)).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    3 Citations (Scopus)
    101 Downloads (Pure)
  • 2018

    EXA2PRO programming environment: Architecture and Applications

    Soudris, D., Papadopoulos, L., Kessler, C. W., Kehagias, D. D., Papadopoulos, A., Seferlis, P., Chatzigeorgiou, A., Ampatzoglou, A., Thibault, S., Namyst, R., Pleiter, D., Gaydadjiev, G., Becker, T. & Haefele, M., 2018, SAMOS '18 : Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation . Association for Computing Machinery (ACM), p. 202-209 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
  • LEGaTO: Towards energy-efficient, secure, fault-tolerant toolset for heterogeneous computing

    Cristal, A., Unsal, O. S., Martorell, X., Carpenter, P., De La Cruz, R., Bautista, L., Jimenez, D., Alvarez, C., Salami, B., Madonar, S., Pericàs, M., Trancoso, P., Vor Dem Berge, M., Billung-Meyer, G., Krupop, S., Christmann, W., Klawonn, F., Mihklafi, A., Becker, T., Gaydadjiev, G., & 16 othersSalomonsson, H., Dubhashi, D., Port, O., Etsion, Y., Nowack, V., Fetzer, C., Hagemeyer, J., Jungeblut, T., Kucza, N., Kaiser, M., Porrmann, M., Pasin, M., Schiavoni, V., Rocha, I., Göttel, C. & Felber, P., 8 May 2018, 2018 ACM International Conference on Computing Frontiers, CF 2018 - Proceedings. Association for Computing Machinery (ACM), p. 276-278 3 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    9 Citations (Scopus)
  • LEGaTO: First Steps Towards Energy-Efficient Toolset for Heterogeneous Computing

    Cristal, A., Unsal, O. S., Martorell, X., Carpenter, P., De La Cruz, R., Bautista, L., Jimenez, D., Alvarez, C., Salami, B., Madonar, S., Pericas, M., Trancoso, P., vor dem Berge, M., Billung-Meyer, G., Krupop, S., Christmann, W., Klawonn, F., Mihklafi, A., Becker, T., Gaydadjiev, G., & 16 othersSalomonsson, H., Dubhashi, D., Port, O., Hadar, E., Etsion, Y., Fetzer, C., Hagemeyer, J., Jungeblut, T., Kucza, N., Kaiser, M., Porrmann, M., Pasin, M., Schiavoni, V., Rocha, I., Gottel, C. & Felber, P., 2018, SAMOS '18 : Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation . p. 210-2017 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    3 Citations (Scopus)
  • 2017

    AEGLE's Cloud Infrastructure for Resource Monitoring and Containerized Accelerated Analytics

    Koliogeorgi, K., Masouros, D., Zervakis, G., Xydis, S., Becker, T., Gaydadjiev, G. & Soudris, D., 20 Jul 2017, Proceedings - 2017 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2017. IEEE, Vol. 2017-July. p. 362-367 6 p. 7987546

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    4 Citations (Scopus)
  • Automated dataflow graph merging

    Voss, N., Girdlestone, S., Mencer, O. & Gaydadjiev, G., 13 Jan 2017, Proceedings - 2016 16th International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, SAMOS 2016. Institute of Electrical and Electronics Engineers (IEEE), p. 219-226 8 p. 7818351

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    6 Citations (Scopus)
  • Cloud deployment and management of dataflow engines

    Trifunovic, N., Palikareva, H., Becker, T. & Gaydadjiev, G., 23 Apr 2017, Proceedings of the 1st International Workshop on Cloud-Next Generation, CloudNG 2017, co-located with European Conference on Computer Systems, EuroSys 2017. Association for Computing Machinery (ACM), p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    3 Citations (Scopus)
  • Convolutional neural networks on dataflow engines

    Voss, N., Bacis, M., Mencer, O., Gaydadjiev, G. & Luk, W., 22 Nov 2017, Proceedings - 35th IEEE International Conference on Computer Design, ICCD 2017. Institute of Electrical and Electronics Engineers (IEEE), p. 435-438 4 p. 8119250

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    9 Citations (Scopus)
  • From exaflop to exaflow

    Becker, T., Burovskiy, P., Nestorov, A. M., Palikareva, H., Reggiani, E. & Gaydadjiev, G., 11 May 2017, Proceedings of the 2017 Design, Automation and Test in Europe, DATE 2017. Institute of Electrical and Electronics Engineers (IEEE), p. 404-409 6 p. 7927024

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    9 Citations (Scopus)
  • Rapid development of Gzip with MaxJ

    Voss, N., Becker, T., Mencer, O. & Gaydadjiev, G., 1 Jan 2017, Applied Reconfigurable Computing - 13th International Symposium, ARC 2017, Proceedings. Springer, Vol. 10216 LNCS. p. 60-71 12 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 10216 LNCS).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    6 Citations (Scopus)
  • 2016

    CLOUDLIGHTNING: A framework for a self-organising and self-managing heterogeneous cloud

    Lynn, T., Xiong, H., Dong, D., Momani, B., Gravvanis, G., Filelis-Papadopoulos, C., Elster, A., Khan, M. M. Z. M., Tzovaras, D., Giannoutakis, K., Petcu, D., Neagul, M., Dragon, I., Kuppudayar, P., Natarajan, S., McGrath, M., Gaydadjiev, G., Becker, T., Gourinovitch, A., Kenny, D., & 1 othersMorrison, J., 1 Jan 2016, CLOSER 2016 - Proceedings of the 6th International Conference on Cloud Computing and Services Science. SciTePress, Vol. 1. p. 333-338 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    31 Citations (Scopus)
  • EXTRA: Towards the exploitation of eXascale technology for reconfigurable architectures

    Stroobandt, D., Varbanescu, A. L., Ciobanu, C. B., Al Kadi, M., Brokalakis, A., Charitopoulos, G., Todman, T., Niu, X., Pnevmatikatos, D., Kulkarni, A., Vansteenkiste, E., Luk, W., Santambrogio, M. D., Sciuto, D., Huebner, M., Becker, T., Gaydadjiev, G., Nikitakis, A. & Thom, A. J. W., 4 Aug 2016, 2016 11th International Symposium on Reconfigurable Communication-Centric Systems-on-Chip, ReCoSoC 2016. Institute of Electrical and Electronics Engineers (IEEE), 7533896

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    6 Citations (Scopus)
  • Online evolving fuzzy rule-based prediction model for high frequency trading financial data stream

    Gu, X., Angelov, P. P., Ali, A. M., Gruver, W. A. & Gaydadjiev, G., 30 Jun 2016, Proceedings of the 2016 IEEE Conference on Evolving and Adaptive Intelligent Systems, EAIS 2016. Institute of Electrical and Electronics Engineers (IEEE), p. 169-175 7 p. 7502509

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    14 Citations (Scopus)
  • The VINEYARD approach: Versatile, integrated, accelerator-based, heterogeneous data centres

    Kachris, C., Soudris, D., Gaydadjiev, G., Nguyen, H-N., Nikolopoulos, D. S., Bilas, A., Morgan, N., Strydis, C., Tsalidis, C., Balafas, J., Jimenez-Peris, R. & Almeida, A., 1 Jan 2016, Applied Reconfigurable Computing - 12th International Symposium, ARC 2016, Proceedings. Springer, Vol. 9625. p. 3-13 11 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 9625).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    7 Citations (Scopus)
  • The VINEYARD project: Versatile integrated accelerator-based heterogeneous data centres

    Kachris, C., Gaydadjiev, G., Nguyen, H-N., Nikolopoulos, D. S., Bilas, A., Morgan, N., Strydis, C., Spatadakis, V., Gardelis, D., Jimenez-Peris, R. & Almeida, A., 20 Jun 2016, 2016 5th International Conference on Modern Circuits and Systems Technologies, MOCAST 2016. Institute of Electrical and Electronics Engineers (IEEE), 7495121

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
  • 2015

    A non-conservative software-based approach for detecting illegal CFEs caused by transient faults

    Rodrigues, D., Nazarian, G., Moreira, Á., Carro, L. & Gaydadjiev, G., 2 Nov 2015, Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFTS 2015. Institute of Electrical and Electronics Engineers (IEEE), p. 221-226 6 p. 7315166

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    3 Citations (Scopus)
    15 Downloads (Pure)
  • Bit-Flip Aware Control-Flow Error Detection

    Nazarian, G., Rodrigues, DG., Moreira, A., Carro, L. & Gaydadjiev, GN., 2015, Proceedings of the 23rd Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2015. Daneshtalab, M., Aldinucci, M., Leppanen, V., Lilius, J. & Brorsson, M. (eds.). Piscataway: IEEE Society, p. 215-221 7 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    6 Citations (Scopus)
  • EXTRA: Towards an efficient open platform for reconfigurable High Performance Computing

    Ciobanu, CǍ. B., Varbanescu, A. L., Pnevmatikatos, D., Charitopoulos, G., Niu, X., Luk, W., Santambrogio, M. D., Sciuto, D., Kadi, M. A., Huebner, M., Becker, T., Gaydadjiev, G., Brokalakis, A., Nikitakis, A., Thom, A. J. W., Vansteenkiste, E. & Stroobandt, D., 1 Jan 2015, Proceedings - IEEE 18th International Conference on Computational Science and Engineering, CSE 2015. Institute of Electrical and Electronics Engineers (IEEE), p. 339-342 4 p. 7371394

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    5 Citations (Scopus)
  • Low-Cost Software Control-Flow Error Recovery

    Nazarian, G., Nane, R. & Gaydadjiev, GN., 2015, Proceedings of the Euromicro Conference on Digital System Design. Canas Ferreira, J. & Kitsos, P. (eds.). Piscataway: IEEE Society, p. 510-517 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    4 Citations (Scopus)
  • Ultra low latency dataflow renderer

    Friston, S., Steed, A., Tilbury, S. & Gaydadjiev, G., 7 Oct 2015, 25th International Conference on Field Programmable Logic and Applications, FPL 2015. Institute of Electrical and Electronics Engineers (IEEE), p. 1-4 4 p. 7293974

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    1 Citation (Scopus)
  • 2014

    Crystal: A design-time resource partitioning method for hybrid main memory

    Knyaginin, D., Gaydadjiev, G. N. & Stenström, P., 13 Nov 2014, Proceedings - 43rd International Conference on Parallel Processing, ICPP 2014. November ed. Institute of Electrical and Electronics Engineers (IEEE), p. 90-100 11 p. 6957218. (Proceedings of the International Conference on Parallel Processing; vol. 2014-November, no. November).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    3 Citations (Scopus)
  • DeSyRe: On-demand adaptive and reconfigurable fault-tolerant SoCs

    Sourdis, I., Strydis, C., Armato, A., Bouganis, C. S., Falsafi, B., Gaydadjiev, G. N., Isaza, S., Malek, A., Mariani, R., Pagliarini, S., Pnevmatikatos, D. N., Pradhan, D. K., Rauwerda, G., Seepers, R. M., Shafik, R. A., Smaragdos, G., Theodoropoulos, D., Tzilis, S. & Vavouras, M., 2014, Reconfigurable Computing: Architectures, Tools, and Applications - 10th International Symposium, ARC 2014, Proceedings. Springer, p. 312-317 6 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 8405 LNCS).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    1 Citation (Scopus)
  • Effective reconfigurable design: The FASTER approach

    Pnevmatikatos, D. N., Becker, T., Brokalakis, A., Gaydadjiev, G. N., Luk, W., Papadimitriou, K., Papaefstathiou, I., Pau, D., Pell, O., Pilato, C., Santambrogio, M. D., Sciuto, D. & Stroobandt, D., 2014, Reconfigurable Computing: Architectures, Tools, and Applications - 10th International Symposium, ARC 2014, Proceedings. Springer, p. 318-323 6 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 8405 LNCS).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • EUROSERVER: Energy efficient node for European micro-servers

    Durand, Y., Carpenter, P. M., Adami, S., Bilas, A., Dutoit, D., Farcy, A., Gaydadjiev, G., Goodacre, J., Katevenis, M., Marazakis, M., Matus, E., Mavroidis, I. & Thomson, J., 16 Oct 2014, Proceedings - 2014 17th Euromicro Conference on Digital System Design, DSD 2014. Institute of Electrical and Electronics Engineers (IEEE), p. 206-213 8 p. 6927246. (Proceedings - 2014 17th Euromicro Conference on Digital System Design, DSD 2014).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    42 Citations (Scopus)
  • FPGA-based design using the FASTER toolchain: The case of STM spear development board

    Spada, F., Scolari, A., Durelli, G. C., Cattaneo, R., Santambrogio, M. D., Sciuto, D., Pnevmatikatos, D. N., Gaydadjiev, G. N., Pell, O., Brokalakis, A., Luk, W., Stroobandt, D. & Pau, D., 14 Oct 2014, Proceedings - 2014 IEEE International Symposium on Parallel and Distributed Processing with Applications, ISPA 2014. Institute of Electrical and Electronics Engineers (IEEE), p. 134-141 8 p. 6924439. (Proceedings - 2014 IEEE International Symposium on Parallel and Distributed Processing with Applications, ISPA 2014).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    1 Citation (Scopus)
  • Towards code safety with high performance

    Nazarian, G., Carro, L. & Gaydadjiev, GN., 2014, Architecture of Computing Systems - Proceedings 27th International Conference on Architecture of Computing Systems. Maehle, E., Römer, K., Karl, W. & Tovar, E. (eds.). Cham, Switzerland: Springer, p. 209-220 12 p. (Lecture Notes in Computer Science; vol. 8350).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    1 Citation (Scopus)
  • Towards domain-specific Instruction-Set Generation

    Pulli, A., Galuzzi, C. & Gaydadjiev, G., 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL). IEEE, 4 p. 6927423

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
  • 2013

    An improved system approach towards future cochlear implants

    Lawand, NS., Ngamkham, W., Nazarian, G., French, PJ., Serdijn, WA., Gaydadjiev, GN., Briaire, JJ. & Frijns, JHM., 2013, Proceedings - 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society in conjuction with the 52nd Annual Conference of Japanese Society for Medical and Biological Engineering (JSMBE). Wheeler, B. (ed.). Piscataway, NJ, USA: IEEE Society, p. 5163-5166 4 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    3 Citations (Scopus)
  • Compiler-aided methodology for low overhead on-line testing

    Nazarian, G., Seepers, R. M., Strydis, C. & Gaydadjiev, GN., 2013, Proceedings - 2013 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation. Silven, O. & Jeschke, H. (eds.). Piscataway, NJ, USA: IEEE Society, p. 219-226 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    2 Citations (Scopus)
  • Dataflow computing with polymorphic registers

    Ciobanu, C., Gaydadjiev, G., Pilato, C. & Sciuto, D., 2013, Proceedings - 2013 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, IC-SAMOS 2013. IEEE, p. 314-321 8 p. 6621140. (Proceedings - 2013 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, IC-SAMOS 2013).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • FASTER run-time reconfiguration management

    Ciobanu, C. B., Pnevmatikatos, D. N., Papadimitriou, K. D. & Gaydadjiev, G. N., 2013, ICS 2013 - Proceedings of the 2013 ACM International Conference on Supercomputing. p. 463 1 p. (Proceedings of the International Conference on Supercomputing).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    1 Citation (Scopus)
  • High-speed Binary Signed-Digit RNS adder with posibit and negabit encoding

    Timarchi, S., Saremi, M., Fazlali, M. & Gaydadjiev, G., 2013, 2013 IFIP/IEEE 21st International Conference on Very Large Scale Integration, VLSI-SoC 2013 - Proceedings. IEEE, p. 58-59 2 p. 6673248. (IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    5 Citations (Scopus)
  • Separable 2D convolution with polymorphic register files

    Ciobanu, CB. & Gaydadjiev, GN., 2013, 26th International conference on architecture of computing systems. Hochberger et al (ed.). Berlin: Springer, p. 317-328 12 p. (Lecture Notes in Computer Science; vol. 7767).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
  • 2012

    Architecture-level fault-tolerance for biomedical implants

    Seepers, R. M., Strydis, C. & Gaydadjiev, G. N., 2012, Proceedings - 2012 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, IC-SAMOS 2012. p. 104-112 9 p. 6404163. (Proceedings - 2012 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, IC-SAMOS 2012).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    6 Citations (Scopus)
  • FASTER: Facilitating analysis and synthesis technologies for effective reconfiguration

    Pnevmatikatos, D., Becker, T., Brokalakis, A., Bruneel, K., Gaydadjiev, G., Luk, W., Papadimitriou, K., Papaefstathiou, I., Pell, O., Pilato, C., Robart, M., Santambrogio, M. D., Sciuto, D., Stroobandt, D. & Todman, T., 2012, Proceedings - 15th Euromicro Conference on Digital System Design, DSD 2012. p. 234-241 8 p. 6386896. (Proceedings - 15th Euromicro Conference on Digital System Design, DSD 2012).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    5 Citations (Scopus)
  • Implementation study of FFT on multi-lane vector processors

    Spinean, B. & Gaydadjiev, GN., 2012, 15th Euromicro Conference on Digital System Design. s.n. (ed.). s.l.: Euromicro, p. 1-8 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    7 Citations (Scopus)
  • Improving DRAM performance and energy efficiency

    Spinean, B., Geursen, AAJ. & Gaydadjiev, GN., 2012, IEEE Symposium on embedded systems for real-time multimedia. s.n. (ed.). s.l.: IEEE Society, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • Novel design methods and a tool flow for unleashing dynamic reconfiguration

    Papadimitriou, K., Pilato, C., Pnevmatikatos, D., Santambrogio, M. D., Ciobanu, C., Todman, T., Becker, T., Davidson, T., Niu, X., Gaydadjiev, G., Luk, W. & Stroobandt, D., 2012, Proceedings - 15th IEEE International Conference on Computational Science and Engineering, CSE 2012 and 10th IEEE/IFIP International Conference on Embedded and Ubiquitous Computing, EUC 2012. p. 391-398 8 p. 6417320. (Proceedings - 15th IEEE International Conference on Computational Science and Engineering, CSE 2012 and 10th IEEE/IFIP International Conference on Embedded and Ubiquitous Computing, EUC 2012).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    1 Citation (Scopus)
  • On implementability of polymorphic register files

    Ciobanu, CB., Kuzmanov, GK. & Gaydadjiev, GN., 2012, 7th InternationalWorkshop on reconfigurable communication-centric systems-on-chip. s.n. (ed.). s.n.: s.l., p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    4 Citations (Scopus)
  • On improved MANET network utilization

    Chang, Z. & Gaydadjiev, GN., 2012, Proceedings 2012 International Conference on Wireless Communications and Signal Processing. Zheng, J., Wang, X. & Di Benedetto, M-G. (eds.). Piscataway, NJ, USA: IEEE Society, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • Scalability Study of Polymorphic Register Files

    Ciobanu, CB., Kuzmanov, GK. & Gaydadjiev, GN., 2012, 15th Euromicro Conference on Digital System Design. s.n. (ed.). s.l.: Euromicro, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    5 Citations (Scopus)