High-performance, Cost-effective 3D Stacked Wide-Operand Adders

George Razvan Voicu, Sorin Dan Cotofana

Research output: Contribution to journalArticleScientificpeer-review

2 Citations (Scopus)
47 Downloads (Pure)

Abstract

Through-Silicon Vias (TSV) based 3D Stacked IC (3D-SIC) technology introduces new design opportunities for wide operand width addition units. Different from state of the art direct folding proposals we introduce two cost-effective 3D Stacked Hybrid Adders with identical tier structure, which potentially makes the manufacturing of hardware wide-operand fast adders a reality. An N-bit
adder implemented on a K identical tier stacked IC performs in parallel two N=K-bit additions on each tier according to the anticipated computation principle. Inter-tier carry signals performing the appropriate sum selection are propagated by TSVs. The practical implications of direct folding and of our hybrid carry-select/prefix approaches are evaluated by a thorough case study on 65nm CMOS 3D adder implementations, for operand sizes up to 4096 bits and 16 tiers. Our simulations indicate that in almost all configurations at least one of the two proposed 3D stacked hybrid approaches is faster than the fastest 3D folding approach. When considering an appropriate metric for 3D designs, i.e., the delay-footprint-heterogeneity product, the hybrid adders substantially outperform the folding counterparts by a factor in-between 1:67 and 23:95.
Original languageEnglish
Pages (from-to)179-192
Number of pages14
JournalIEEE Transactions on Emerging Topics in Computing
Volume5
Issue number2
DOIs
Publication statusPublished - 4 Aug 2016

Bibliographical note

Accepted Author Manuscript

Keywords

  • Through-silicon vias
  • Adders
  • Cryptography
  • Three-dimensional integrated circuits

Fingerprint

Dive into the research topics of 'High-performance, Cost-effective 3D Stacked Wide-Operand Adders'. Together they form a unique fingerprint.

Cite this