System-level sub-20 nm planar and FinFET CMOS delay modelling for supply and threshold voltage scaling under process variation

Sohaib Majzoub*, Mottaqiallah Taouil, Said Hamdioui

*Corresponding author for this work

Research output: Contribution to journalArticleScientificpeer-review

2 Citations (Scopus)
157 Downloads (Pure)

Abstract

Standard low power design utilizes a variety of approaches for supply and threshold control to reduce dynamic and idle power. At a very early stage of the design cycle, the Vdd and Vth values are estimated, based on the power budget, and then used to scale the delay and estimate the design performance. Furthermore, process variation in sub-20 nm feature technologies introduces a substantial impact on speed and power. Thus, the impact of such variation on the scaled delay has to also be considered in the performance estimation. In this paper, we propose a system-level model to estimate this delay, taking into consideration voltage scaling under within-die process variation for both planar and FinFET CMOS transistors in the sub-20 nm regime. The model is simple, has acceptable accuracy and is particularly useful for architectural-level simulations for low-power design exploration at an early stage in the design space exploration. The proposed model estimates the delay in different supply voltage and threshold voltage ranges. The model uses a modified alpha-power equation to measure the delay of the critical path of a computational logic core. The targeted technology nodes are 14 nm, 10 nm, and 7 nm for FinFETs, and 22 nm, and 16 nm for planar CMOS. Within-die process variation is assumed to be lumped in with the threshold voltage and the transistor channel length and width to simplify its impact on delay. For the given technology nodes, the average percentage error numbers of theproposed delay equation compared to hSpice are between 0.5% to 14%.

Original languageEnglish
Pages (from-to)1-10
Number of pages10
JournalJournal of Low Power Electronics
Volume15
Issue number1
DOIs
Publication statusPublished - 1 Mar 2019

Keywords

  • Alpha-Power Model
  • FinFET
  • Low-Power Design
  • Multi-V
  • Planar CMOS
  • Process Variation
  • System-Level Modelling
  • Voltage Scaling
  • Within-Die Variation

Fingerprint

Dive into the research topics of 'System-level sub-20 nm planar and FinFET CMOS delay modelling for supply and threshold voltage scaling under process variation'. Together they form a unique fingerprint.

Cite this