Virtual ways: Low-cost coherence for instruction set extensions with architecturally visible storage

T Kluter, S Burri, Ph Brisk, E Charbon, P Ienne

Research output: Contribution to journalArticleScientificpeer-review

Original languageEnglish
Pages (from-to)1-26
Number of pages26
JournalACM Transactions on Architecture and Code Optimization
Volume11
Issue number2
DOIs
Publication statusPublished - 2014

Bibliographical note

Harvest

Cite this