Filter
Conference contribution

Search results

  • The FitOptiVis ECSEL Project: Highly Efficient Distributed Embedded Image/Video Processing in Cyber-Physical Systems

    Al-Ars, Z., Basten, T., Beer, A. D., Geilen, M., Goswami, D., Jaäskelaïnen, P., Kadlec, J., Alejandro, M. M., Palumbo, F. & More Authors, 30 Apr 2019, CF'19 : Proceedings of the 16th ACM International Conference on Computing Frontiers. NewYork,NY: Association for Computing Machinery (ACM), p. 333-338 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    31 Citations (Scopus)
  • The gain and cost of multicast routing trees

    Janic, M. & Van Mieghem, PFA., 2004, Proceedings of the 2004 IEEE International conference on Systems, Man & Cybernetics. Thissen, W., Wieringa, P., Pantic, M. & Ludema, M. (eds.). Piscataway: IEEE Society, p. 4625-4630 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    5 Citations (Scopus)
  • The hartes carlab: a new approach to advanced algorithms development for automotive audio

    Cecchi, S., Sima, VM. & Bertels, KLM., 2010, AES 129th convention audio engineering society. s.n. (ed.). New York: AES, p. 1-12 12 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
  • The impact of code positioning on ILP scheduling

    Cilio, AGM. & Corporaal, H., 2000, ASCI 2000 proceedings. LJ Vliet, V. (ed.). Delft: Advanced School for Computing and Imaging, p. 37-44 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientific

  • The impact of correlated link weights on QoS routing

    Kuipers, FA. & Van Mieghem, PFA., 2003, Infocom 2003 22nd Annual joint conference of the IEEE computer and communications societies. s.n. (ed.). Piscataway: IEEE Society, p. 1425-1434 10 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    39 Citations (Scopus)
  • The kunka aña mobile robot

    Calderón, H., 2003, Proceedings of ProRISC 2003. s.n. (ed.). Utrecht: STW, p. 37-42 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • The lambda-Scheduler: a multiwavelength scheduling switch

    Lang, JP., Varvarigos, EA. & Blumenthal, DJ., 2000, Proceedings thirty-seventh annual Allerton conference on communication, control and computing. B Hajek & RS Sreenivas (eds.). Urbana: University of Illinois, p. 689-698 10 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientific

  • The laplacian spectrum of complex networks

    Jamakovic, A. & Van Mieghem, PFA., 2006, European conference on complex systems. Jost, J., Reed-Tsochas, F. & Schuster, P. (eds.). Oxford Said business school, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • The ManArray embedded processor architecture

    Pechanek, GG. & Vassiliadis, S., 2000, Proceedings, vol. 1. F Vajda (ed.). Los Alamitos: IEEE, p. 348-355 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientific

    33 Citations (Scopus)
  • The midlifekicker microarchitecture evaluation metric

    Vassiliadis, S., Sousa, LA. & Gaydadjiev, GN., 2005, Proceedings of the 16th IEEE International conference on Application-Specific Systems Architectures and Processors (ASAP). Vassiliadis, S., Dimopoulos, N. & Rajopadhye, S. (eds.). Los Alamitos: IEEE Society, p. 92-100 9 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    3 Citations (Scopus)
  • The Molen femtojava engine

    de Mattos, MJT., Wong, S. & Carro, L., 2006, Proceedings IEEE 17th International conference on Application-specific Systems, Architecture and Processors. Dimopoulos, N., Rajopadhye, S., Luk, W. & Savaria, Y. (eds.). Los Alamitos: IEEE Society, p. 19-22 4 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    4 Citations (Scopus)
  • The molen media processor: design and evaluation

    Kuzmanov, GK., Gaydadjiev, GN. & Vassiliadis, S., 2005, Proceedings of the International Workshop on Application Specific Processors, WASP 2005. s.n. (ed.). s.l.: s.n., p. 26-33 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • The MOLEN processor prototype

    Kuzmanov, GK., Gaydadjiev, GN. & Vassiliadis, S., 2004, IEEE Symposium on field-programmable custom computing machines Proceedings. Arnold, J. & Pocek, KL. (eds.). Los Alamitos: IEEE, p. 296-299 4 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    25 Citations (Scopus)
  • The molen programming paradigm

    Vassiliadis, S., Gaydadjiev, GN., Bertels, KLM. & Panainte, E., 2003, Third international workshop on systems, architectures, modeling, and simulation. Leiden: SAMOS Initiative, p. 1-7 7 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientific

    15 Citations (Scopus)
  • The Molen programming paradigm

    Vassiliadis, S., Gaydadjiev, GN., Bertels, K. & Panainte, E., 2004, Computer systems: architectures, modeling, and simulation. Pimentel, AD. & Vassiliadis, S. (eds.). Berlin: Springer, p. 1-11 11 p. (Lecture Notes in Computer Science; vol. 3133).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    11 Citations (Scopus)
  • The new broadband world: back to circuits again?!

    Baken, NHG., van Riet, MJM., Warners, JP. & Deurwater, G., 2006, FITCE journal. INFOTE (ed.). Athens: FITCE, p. 249-253 5 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • Theoretical and practical aspects of verification of quantum computers

    Naveh, Y., Kashefi, E., Wootton, J. R. & Bertels, K., 2018, Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition, DATE 2018. Piscataway, NJ: IEEE, Vol. 2018-January. p. 721-730 10 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    4 Citations (Scopus)
  • The origin of value through information networks: a preliminary framework from an evolutionary holonic perspective

    Madureira, A., Baken, NHG. & Bouwman, WAGA., 2010, Proceedings of the 18th biennial conference of the international telecommunications society. s.n. (ed.). Amsterdam: Elsevier, p. 1-28 28 p. (Elsevier; vol. maart).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientific

  • The Origin of Value Through Information Networks: a Preliminary Framework from an Evolutionary Holonic Perspective TOP THREE PAPER

    Madureira, A., Baken, NHG. & Bouwman, WAGA., 2010, Culture, Communication and The Cutting Edge of Technology, Proceedings of 18th Biennial Conference in Association and 27th Annual Conference of the Japan Society of Information and Communication Research (JSICR). s.n. (ed.). Waseda University, Tokio: International Telecommunication Society, p. 1-28 28 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientific

  • The Paderborn University BSP (PUB) library design, implementation and performance

    Bonorden, O., Juurlink, BHH., von Otte, I. & Rieping, I., 1999, Proceedings of the 13th International Parallel Processing Symposium and 10th Symposium on Parallel and Distributed Processing. B Werner (ed.). Los Alamitos: IEEE, p. 99-104 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    37 Citations (Scopus)
  • The Power of Computation-in-Memory Based on Memristive Devices

    Yu, J., Abu Lebdeh, M., Du Nguyen, H. A., Taouil, M. & Hamdioui, S., 15 Jan 2020, 25th Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE, p. 385-392 8 p. 9045162

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    5 Citations (Scopus)
    137 Downloads (Pure)
  • The powerPC backend Molen compiler

    Moscu Panainte, E., Bertels, KLM. & Vassiliadis, S., 2004, Field programmable logic and application. Becker, J., Platzner, M. & Vernalde, S. (eds.). Berlin: Springer, p. 434-443 10 p. (Lecture Notes in Computer Science; vol. 3203).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    8 Citations (Scopus)
  • The priority broadcast scheme for dynamic broadcast in hypercubes and related networks

    Yeh, CH., Varvarigos, EA. & Lee, H., 1999, Proceedings of the 7th symposium on the frontiers of massively parallel computation. Los Alamitos: IEEE, p. 294-301 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientific

    3 Citations (Scopus)
  • The Q² profiling framework: driving application mapping for heterogeneous reconfigurable platforms

    Ostadzadeh, SA., Meeuws, RJ., Ashraf, I., Galuzzi, C. & Bertels, KLM., 2012, 8th International symposium on applied reconfigurable computing. Choy, OCS. (ed.). Berlin: Springer, p. 1-13 13 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • The Recoverability of Network Controllability

    Chen, A., Sun, P. & Kooij, R. E., 2021, 2021 5th International Conference on System Reliability and Safety (ICSRS): Proceedings. Piscataway: IEEE, p. 198-208 11 p. 9660667

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    3 Citations (Scopus)
    11 Downloads (Pure)
  • The recursive grid layout scheme for VLSI layout of hierarchical networks

    Varvarigos, EA., Parhami, B. & Yeh, CH., 1999, IPPS/SPDP 1999 Proceedings. Los Alamitos: IEEE, p. 441-445 5 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientific

    8 Citations (Scopus)
  • The Reliability of a Gas Distribution Network: A Case Study

    Pino, W., Worm, D., van der Linden, R. & Kooij, R., 2017, 2016 International Conference on System Reliability and Science (ICSRS 2016) Proceedings. Piscataway, NJ: IEEE, p. 122-129 8 p. 7815850

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
  • The sandblaster automatic multithreaed vectorizing compiler

    Jinturkar, S., Glossner, CJ., Kotlyar, V. & Moudgill, M., 2004, CD Proceedings at the 2004 Global Signal Processing Expo (GSPx) and International Signal Processing Conference (ISPC). Newton: Global Technology Conferences, p. 1-17 17 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • The Sandbridge SDR communications platform

    Glossner, CJ., Moudgill, M. & Iancu, D., 2004, SympoTIC'04 Joint IST workshop on mobile future & symposium on trends in communications, Proceedings. Piscataway: IEEE Society, p. 1-8 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    3 Citations (Scopus)
  • The scalable networking scheme for high-speed networks

    Yeh, CH. & Varvarigos, EA., 2000, ICC 2000 conference record: global convergence through communications. Piscataway: IEEE Society, p. 1335-1342 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientific

    8 Citations (Scopus)
  • The Small Signal Amplification of the Gated Diode Operated in Breakdown Regime

    Rusu, A., Dobrescu, D., Enachescu, M., Burileanu, C. & Rusu, A., 2011, Proceedings of 34th International Semiconductor Conference (CAS 2011). Dascalu, D. & Rusu, A. (eds.). Piscataway, NJ, USA: IEEE Society, p. 321-324 4 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    1 Citation (Scopus)
  • The Smart Home landscape:A qualitative meta-analyses

    Solaimani Kartalaei, H., Bouwman, WAGA. & Baken, NHG., 2011, Toward Useful Services for Elderly and People with Disabilities - Proceedings ICOST 2011. Abdulrazak, B., Giroux, S., Bouchard, B., Pigot, H. & Mokhtari, M. (eds.). Berlin Heidelberg: Springer, p. 192-199 8 p. (Lecture Notes in Computer Science; vol. 6719).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
  • The spiral search: a linear complexity algorithm for the generation of convex MIMO instruction-set extensions

    Galuzzi, C., Bertels, K. & Vassiliadis, S., 2007, ICFPT 2007. Takeshi Ikenaga Hideharu Amano, A. Y. (ed.). s.l., p. 337-340 4 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    6 Citations (Scopus)
  • The stability of paths in a dynamic network

    Kuipers, F. A. & Van Mieghem, P. F. A., 2005, Proceedings of the 1st international conference on emerging networking experiments and technologies - CoNext'05. s.n. (ed.). New York: Association for Computing Machinery (ACM), p. 105-114 10 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    119 Downloads (Pure)
  • The state-of-art future trends in testing embedded memories

    Hamdioui, S., Gaydadjiev, GN. & van de Goor, AJ., 2004, Records of the 2004 International workshop on Memory Technology, Design and Testing MTDT 2004. Titsworth, FM. (ed.). Piscataway: IEEE, p. 54-59 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    52 Citations (Scopus)
  • The TM3270 media-processor

    van de Waerdt, JW., Vassiliadis, S., Das, S., Mirolo, S., Yen, C., Basto, C., van Itegem, JP., Amirtharaj, D., Kalra, K., Rodriguez, P. & van Antwerpen, H., 2005, MICRO-38 - Proceedings of the 38th annual IEEE/ACM international symposium on microarchitecture. s.n. (ed.). Los Alamitos (CA), USA: IEEE, p. 331-342 12 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    54 Citations (Scopus)
  • The TM3270 media-processor data cache

    van de Waerdt, JW., Vassiliadis, S., van Itegem, JP. & van Antwerpen, H., 2005, Computer design: VLSI in computers & processors, IICD 2005. s.n. (ed.). Piscataway: IEEE Society, p. 334-341 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    3 Citations (Scopus)
  • The TU Delft sudoku solver on FPGA

    Bok van der, K., Taouil, M., Afratis, P. & Sourdis, I., 2009, 2009 intl. conf. on field-programmable technology. Bergmann, N., Diessel, O. & Shannon, L. (eds.). Piscataway: IEEE Society, p. 526-529 4 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    10 Citations (Scopus)
  • The universal multiplier unit

    Calderón, H. & Vassiliadis, S., 2004, Proceedings of Pro-RISC 2004. Utrecht: Technology Foundation STW, p. 341-346 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientific

  • The value (driven) web

    Baken, NHG., Wiegel, V. & van Oortmerssen, G., 2010, Proceedings of the WebSci10: extending the frontiers of society on-line. Hall, W. & Hendler, J. (eds.). Southampton, UK: Web Science TRust, p. 1-19 19 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • The Virtex II Pro [tm] MOLEN processor

    Kuzmanov, GK., Gaydadjiev, GN. & Vassiliadis, S., 2004, Computer systems: architectures, modeling, and simulation. Pimentel, AD. & Vassiliadis, S. (eds.). Berlin: Springer, p. 192-202 11 p. (Lecture Notes in Computer Science; vol. 3133).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • Threshold logic parallel counters for 32-bit multipliers

    Celinski, P., Cotofana, SD. & Abbott, D., 2002, International symposium on smart materials, Nano-, and micro-smart systems 2002. s.n. (ed.). Belingham: SPIE, p. 205-214 10 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    1 Citation (Scopus)
  • Throughput analysis and voltage-frequency island partitioning for streaming applications under process variation

    Mirzoyan, D., Stuijk, S., Akesson, B. & Goossens, KGW., 2013, Proceedings 2013 IEEE 11th Symposium on Embedded Systems for Real-Time Multimedia. Stefanov, T. & Palesi et al, M. (eds.). Piscataway, NJ, USA: IEEE Society, p. 1-10 10 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    3 Citations (Scopus)
  • Throughput optimization via cache partitioning for embedded multiprocessors

    Molnos, AM., Cotofana, SD., Heijligers, MJM. & van Eijndhoven, JTJ., 2006, Proceedings 2006 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation. Gaydadjiev, G., Glossner, J., Takala, J. & Vassiliadis, S. (eds.). Piscataway-USA: IEEE Society, p. 185-191 7 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    7 Citations (Scopus)
  • Tile Architecture and Hardware Implementation for Computation-in-Memory

    Zahedi, M., van Duijnen, R., Wong, S. & Hamdioui, S., 2021, Proceedings - 2021 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2021: Proceedings. Ceballos, C. (ed.). Piscataway: IEEE, p. 108-113 6 p. 9516737. (Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI; vol. 2021-July).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    5 Citations (Scopus)
  • Time-division Multiplexing Automata Processor

    Yu, J., Du Nguyen, H. A., Abu Lebdeh, M., Taouil, M. & Hamdioui, S., 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE) : Proceedings. IEEE, p. 794-799 6 p. 8715140

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    8 Citations (Scopus)
    240 Downloads (Pure)
  • Time-domain measurements of broadband antennas

    Huang, Y., Bury, M., Yashchyshyn, Y., Yarovoy, A., de Jongh, RV., Maqbool, S. & Lu, Y., 2007, Proceedings of EUCAP 2007. s.n. (ed.). Dublin: IET, p. 1-4 4 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • Time to metastable state in SIS epidemic on graphs

    van de Bovenkamp, R. & Van Mieghem, PFA., 2014, Proceedings Third International IEEE Workshop on Complex Networks and their Applications. Hocine, C. (ed.). p. 1-8 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • Time to Metastable State in SIS Epidemics on Graphs

    Van De Bovenkamp, R. & Van Mieghem, P., 7 Apr 2015, Proceedings - 10th International Conference on Signal-Image Technology and Internet-Based Systems, SITIS 2014. Piscataway, NJ: IEEE, p. 347-354 8 p. 7081569

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    7 Citations (Scopus)
  • TLM modelling of 3D stacked wide I/O DRAM Subsystems

    Jung, M., Weis, C., Wehn, N. & Chandrasekar, K., 2013, Proceedings 5th Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools. Palermo, G., Gracia Perez, D. & Castrillon et al, J. (eds.). New York, NY, USA: Association for Computing Machinery (ACM), p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access