Filter
Conference contribution

Search results

  • 2014

    Memristor based memories: Technology, design and test

    Hamdioui, S., Aziza, H. & Sirakoulis, GC., 2014, Proceedings - 9th IEEE International Conference on Design and Technology of Integrated Systems in Nanoscale Era. Voyatzis, I. (ed.). Piscataway, NJ, USA: IEEE Society, p. 1-7 7 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    45 Citations (Scopus)
  • Quality versus cost analysis for 3D Stacked ICs

    Taouil, M., Hamdioui, S. & Marinissen, EJ., 2014, Proceedings - 32nd IEEE VLSI Test Symposium. Thibeault, C. (ed.). Los Alamitos, CA, USA: IEEE, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    6 Citations (Scopus)
  • Region disjoint paths in a class of optimal line graph networks

    Joshi, PD., Sen, A., Hamdioui, S. & Bertels, K., 2014, Proceedings - 17th IEEE International Conference on Computational Science and Engineering (CSE 2014). El Baz, D., Liu, X., Hsu, CH., Kang, K. & Chen, W. (eds.). Los Alamitos, CA, USA: IEEE, p. 1256-1260 5 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    2 Citations (Scopus)
  • Security methods in fault tolerant modified line graph based networks

    Joshi, PD. & Hamdioui, S., 2014, Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT). Ottavi, M. & Hamdioui, S. (eds.). Piscataway, NJ, USA: IEEE Society, p. 57-62 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
  • Shortest path reduction in a class of uniform fault tolerant networks

    Joshi, PD. & Hamdioui, S., 2014, Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT). Ottavi, M. & Hamdioui, S. (eds.). Piscataway, NJ, USA: IEEE Society, p. 234-239 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
  • Testing PUF-based secure key storage circuits

    Cortez, AMMO., Roelofs, G., Hamdioui, S. & di Natale, G., 2014, Proceedings of the 2014 International Conference on Design, Automation & Test in Europe. Fettweis, G. & Nebel, W. (eds.). Leuven, Belgium: EDAA, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
  • 2015

    Aging mitigation in memory arrays using self-controlled bit-flipping technique

    Gebregiorgis, A., Ebrahimi, M., Kiamehr, S., Oboril, F., Hamdioui, S. & Tahoori, MB., 2015, Proceedings - 20th Asia and South Pacific Design Automation Conference. Uchiyama, K. (ed.). Piscataway, NJ, USA: IEEE Society, p. 231-236 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    37 Citations (Scopus)
  • BTI analysis of SRAM write driver

    Agbo, IO., Taouil, M., Hamdioui, S., Weckx, P., Cosemans, S. & Catthoor, F., 2015, Proceedings of the 10th International Design and Test Symposium, IDT 2015. Kurdahi, F., Mir, S. & Yu, MO. (eds.). Piscataway: IEEE Society, p. 100-105 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    6 Citations (Scopus)
  • Comparative analysis of RD and Atomistic trap-based BTI models on SRAM Sense Amplifier

    Agbo, IO., Taouil, M., Hamdioui, S., Cosemans, S., Weckx, P., Raghavan, P. & Catthoor, F., 2015, Proceedings - 10th IEEE International Conference on Design and Technology of Integrated Systems in Nanoscale Era, DTIS 2015. Casola, V. (ed.). Piscataway, NJ, USA: IEEE Society, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    3 Citations (Scopus)
  • Computation-in-memory based parallel adder

    Du Nguyen, HA., Xie, L., Taouil, M., Nane, R., Hamdioui, S. & Bertels, K., 2015, Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH. Moritz, CA. & Rahman, M. (eds.). Piscataway: IEEE Society, p. 57-62 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    21 Citations (Scopus)
  • Design dependent SRAM PUF robustness analysis

    Cortez, AMMO., Hamdioui, S. & Ishihara, R., 2015, Proceedings - 16th IEEE Latin-American Test Symposium. Champac, V. & Zorian, Y. (eds.). Piscataway, NJ, USA: IEEE Society, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    5 Citations (Scopus)
  • Fast boolean logic mapped on memristor crossbar

    Xie, L., Du Nguyen, HA., Taouil, M., Hamdioui, S. & Bertels, K., 2015, Proceedings of the 33rd IEEE International Conference on Computer Design, ICCD. Ozev, S. & Chung, SW. (eds.). Piscataway: IEEE Society, p. 335-342 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    73 Citations (Scopus)
  • Integral impact of BTI and voltage temperature variation on SRAM sense amplifier

    Agbo, IO., Taouil, M., Hamdioui, S., Kukner, H., Weckx, P., Raghavan, P. & Catthoor, F., 2015, Proceedings - 33rd IEEE VLSI Test Symposium. Thibeault, C. & Anghel, L. (eds.). Piscataway, NJ, USA: IEEE Society, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    11 Citations (Scopus)
  • Interconnect networks for memristor crossbar

    Xie, L., Du Nguyen, HA., Taouil, M., Hamdioui, S. & Bertels, K., 2015, Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH. Moritz, CA. & Rahman, M. (eds.). Piscataway: IEEE Society, p. 124-129 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    15 Citations (Scopus)
  • Memristor: the enabler of computation-in-memory architecture for big-data

    Hamdioui, S., Taouil, M., Du Nguyen, HA., Haron, A., Xie, L. & Bertels, K., 2015, International Conference on Memristive Systems, MEMRISYS. s.n. (ed.). Piscataway: IEEE Society, p. 1-3 3 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    13 Citations (Scopus)
  • Memristor Based Computation-in-Memory Architecture for Data-Intensive Applications

    Hamdioui, S., Xie, L., Du Nguyen, H. A., Taouil, M., Bertels, K., Corporaal, H., Jiao, H., Catthoor, F., Wouters, D., Eike, L. & van Lunteren, J., Mar 2015, Proceedings of the 2015 Design, Automation and Test in Europe Conference and Exhibition. Nebel, W. (ed.). Piscataway, NJ: IEEE, p. 1718-1725 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    136 Citations (Scopus)
  • On resistive open defect detection in DRAMs: The charge accumulation effect

    Sfikas, Y., Tsiatouhas, YE., Taouil, M. & Hamdioui, S., 2015, Proceedings - 20th IEEE European Test Symposium. Miclea, L. & Prinetto, P. (eds.). Piscataway, NJ, USA: IEEE Society, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
  • SW-based transparent in-field memory testing

    Bernardi, P., Ciganda, L., Reorda, MS. & Hamdioui, S., 2015, Proceedings - 16th IEEE Latin-American Test Symposium. Champac, V. & Zorian, Y. (eds.). Piscataway, NJ, USA: IEEE Society, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    6 Citations (Scopus)
  • 2016

    Boolean Logic Gate Exploration for Memristor Crossbar

    Xie, L., Du Nguyen, H. A., Taouil, M., Hamdioui, S. & Bertels, K., 2016, Proceedings - 11th IEEE International Conference on Design and Technology of Integrated Systems in Nanoscale Era, DTIS 2016. Danvers, MA: IEEE, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    13 Citations (Scopus)
  • CIM100x: Computation in-Memory Architecture Based on Resistive Devices

    Hamdioui, S., Taouil, M., Du Nguyen, H. A., Haron, A., Xie, L. & Bertels, K., 2016, Proceedings of CNNA 2016: 15th International Workshop on Cellular Nanoscale and their Applications. Berlin: VDE, p. 95-96 2 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

  • Comparative BTI Analysis for Various Sense Amplifier Designs

    Agbo, I., Taouil, M., Hamdioui, S., Weckx, P., Cosemans, S., Raghavan, P. & Catthoor, F., 2016, Proceedings of the 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, DDECS 2016. IEEE, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    9 Citations (Scopus)
  • Instruction cache aging mitigation through Instruction Set Encoding

    Gebregiorgis, A., Oboril, F., Tahoori, M. B. & Hamdioui, S., 2016, Proceedings of the 17th International Symposium on Quality Electronic Design, ISQED 2016. Wright, P., Mukhopadhyay, S. & Cline, B. (eds.). Piscataway, NJ: IEEE, p. 325-330 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    1 Citation (Scopus)
  • Non-Volatile Look-up Table Based FPGA Implementations

    Xie, L., Du Nguyen, H. A., Taouil, M., Hamdioui, S., Bertels, K. & Alfailakawi, M., 2016, Proceedings : 11th IEEE International Design & Test Symposium. Tourki, R. (ed.). Piscataway, NJ, USA: IEEE, 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    13 Citations (Scopus)
  • Parallel Matrix Multiplication on Memristor-Based Computation-in-Memory Architecture

    Haron, A., Yu, J., Nane, R., Taouil, M., Hamdioui, S. & Bertels, K., 2016, 2016 International Conference on High Performance Computing & Simulation (HPCS): 14th Annual Meeting. Piscataway: IEEE, p. 759-766 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    20 Citations (Scopus)
    399 Downloads (Pure)
  • Quantification of Sense Amplifier Offset Voltage Degradation due to Zero-and Run-Time Variability

    Agbo, I., Taouil, M., Hamdioui, S., Weckx, P., Cosemans, S., Raghavan, P., Catthoor, F. & Dehaene, W., 2016, Proceedings - IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2016). Taskin, B. & Ghosal, P. (eds.). Los Alamitos, CA: IEEE, p. 725-730 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    18 Citations (Scopus)
  • Read Path Degradation Analysis in SRAM

    Agbo, I., Taouil, M., Hamdioui, S., Weckx, P., Cosemans, S., Catthoor, F. & Dehaene, W., 2016, Proceedings - 21st IEEE European Test Symposium, ETS 2016. Danvers, MA: IEEE, p. 1-2 2 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    9 Citations (Scopus)
  • RRAM Variability and its Mitigation Schemes

    Pouyan, P., Amat, E., Hamdioui, S. & Rubio, A., 2016, 26th International Workshop on Power and Timing Modeling, Optimization and Simulation, PATMOS 2016. Piscataway, NJ: IEEE, p. 141-146 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    17 Citations (Scopus)
    137 Downloads (Pure)
  • Skeleton-based design and simulation flow for Computation-in-Memory architectures

    Yu, J., Nane, R., Haron, A., Hamdioui, S., Corporaal, H. & Bertels, K., 2016, 2016 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH). Zhao, W. & Moritz, C. A. (eds.). New York: Association for Computing Machinery (ACM), p. 165-170 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    10 Citations (Scopus)
    60 Downloads (Pure)
  • Synthesizing HDL to Memristor Technology: A Generic Framework

    Du Nguyen, H. A., Xie, L., Taouil, M., Hamdioui, S. & Bertels, K., 2016, 2016 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH). Zhao, W. & Moritz, C. A. (eds.). New York: Association for Computing Machinery (ACM), p. 43-48 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    11 Citations (Scopus)
  • 2017

    Interconnect Networks for Resistive Computing Architectures

    Du Nguyen, H. A., Xie, L., Yu, J., Taouil, M. & Hamdioui, S., 2017, 2017 12th International Conference on Design &Technology of Integrated Systems in Nanoscale Era (DTIS). Danvers: IEEE, p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    3 Citations (Scopus)
  • Memristive devices: Technology, design automation and computing frontiers

    Barbareschi, M., Bosio, A., Du Nguyen, H. A., Hamdioui, S., Traiola, M. & Vatajelu, E. I., 2017, 2017 12th International Conference on Design &Technology of Integrated Systems in Nanoscale Era (DTIS). Danvers: IEEE, p. 1-8 8 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    4 Citations (Scopus)
  • Memristor For Computing: Myth or Reality?

    Hamdioui, S., Kvatinsky, S., Cauwenberghs, G., Xie, L., Wald, N., Joshi, S., Elsayed, H. M., Corporaal, H. & Bertels, K., 2017, Proceedings of the 2017 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, p. 722-731 10 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    90 Citations (Scopus)
  • Mitigation of sense amplifier degradation using input switching

    Kraak, D., Agbo, I., Taouil, M., Hamdioui, S., Weckx, P., Cosemans, S., Catthoor, F. & Dehaene, W., 2017, Proceedings of the 2017 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, p. 858-863 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    18 Citations (Scopus)
  • On the Robustness of Memristor Based Logic Gates

    Xie, L., Du Nguyen, H. A., Yu, J., Taouil, M. & Hamdioui, S., 2017, 2017 IEEE 20th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS). Dietrich, M. & Novak, O. (eds.). Piscataway: IEEE, p. 158-163 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    5 Citations (Scopus)
  • Scouting Logic: A Novel Memristor-Based Logic Design for Resistive Computing

    Xie, L., Du Nguyen, H. A., Yu, J., Kaichouhi, A., Taouil, M., AlFailakawi, M. & Hamdioui, S., 2017, 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). Hübner, M., Reis, R., Stan, M. & Voros, N. (eds.). Piscataway: IEEE, p. 176-181 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    90 Citations (Scopus)
  • Standards-based tools and services for building lifelong learning pathways

    Sgouropoulou, C., Voyiatzis, I., Koutoumanos, A., Hamdioui, S., Pouyan, P., Comte, M., Prinetto, P., Airò Farulla, G., Ellervee, P., Delgado Kloos, C. & Crespo Garcia, R., 2017, 2017 IEEE Global Engineering Education Conference (EDUCON). Piscataway, NJ: IEEE, p. 1619-1621 3 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
  • Test and Reliability of Emerging Non-Volatile Memories

    Hamdioui, S., Pouyan, P., Li, H., Wang, Y., Raychowdhur, A. & Yoon, I., 2017, 2017 IEEE 26th Asian Test Symposium (ATS). O’Conner, L. (ed.). Piscataway, NJ : IEEE, p. 170-178 9 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    5 Citations (Scopus)
  • 2018

    Degradation analysis of high performance 14nm FinFET SRAM

    Kraak, D., Agbo, I., Taouil, M., Hamdioui, S., Weckx, P., Cosemans, S. & Catthoor, F., 1 Mar 2018, 2018 Design, Automation Test in Europe Conference Exhibition (DATE). p. 201-206 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    10 Citations (Scopus)
  • Device aging: A reliability and security concern

    Kraak, D., Taouil, M., Hamdioui, S., Weckx, P., Catthoor, F., Chatterjee, A., Singh, A., Wunderlich, H. & Karimi, N., 1 May 2018, 2018 IEEE 23rd European Test Symposium (ETS). p. 1-10 10 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    6 Citations (Scopus)
  • Electrical Modeling of STT-MRAM Defects

    Wu, L., Taouil, M., Rao, S., Marinissen, E. J. & Hamdioui, S., 2018, International Test Conference - Proceedings. Piscataway, NJ: IEEE, p. 1-10 10 p. 3.2

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    30 Citations (Scopus)
    40 Downloads (Pure)
  • Ionizing radiation modeling in DRAM transistors

    Fieback, M., Taouil, M., Hamdioui, S. & Rovatti, M., 2018, 2018 IEEE 19th Latin-American Test Symposium, LATS 2018. IEEE, Vol. 2018-January. p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
  • Memristive devices for computation-in-memory

    Yu, J., Du Nguyen, H. A., Xie, L., Taouil, M. & Hamdioui, S., 2018, Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE): Proceedings. IEEE, p. 1646-1651 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    36 Citations (Scopus)
    91 Downloads (Pure)
  • Testing Resistive Memories: Where Are We and What Is Missing?

    Fieback, M., Taouil, M. & Hamdioui, S., 2018, International Test Conference 2018 - Proceedings. Piscataway, NJ: IEEE, p. 1-9 9 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    19 Citations (Scopus)
  • Use of Formal Methods for verification and optimization of Fault Lists in the scope of ISO26262

    Augusto da Silva, F., Bagbaba, A. C., Hamdioui, S. & Sauer, C., 2018, DVCon Europe 2018. p. 1-6 6 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientific

    Open Access
    File
    362 Downloads (Pure)
  • 2019

    A Computation-In-Memory Accelerator Based on Resistive Devices

    Du Nguyen, H. A., Yu, J., Abu Lebdeh, M., Taouil, M. & Hamdioui, S., 2019, Proceedings of the International Symposium on Memory Systems. New York: Association for Computing Machinery (ACM), p. 19-32 14 p. (ICPS: ACM International Conference Proceeding Series).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    2 Citations (Scopus)
    2 Downloads (Pure)
  • Applications of Computation-In-Memory Architectures based on Memristive Devices

    Hamdioui, S., Du Nguyen, H. A., Taouil, M., Sebastian, A., Le Gallo, M., Pande, S., Schaafsma, S., Catthoor, F., Das, S., G. Redondo, F., Karunaratne, G., Rahimi, A. & Benini, L., 2019, Proceedings of the 2019 Design, Automation and Test in Europe Conference and Exhibition, DATE 2019: Proceedings. IEEE, p. 486-491 6 p. 8715020

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    33 Citations (Scopus)
    997 Downloads (Pure)
  • CIM-SIM: Computation in Memory SIMuIator

    Banagozar, A., Vadivel, K., Stuijk, S., Corporaal, H., Wong, S., Lebdeh, M. A., Yu, J. & Hamdioui, S., 27 May 2019, SCOPES'19: Proceedings of the 22nd International Workshop on Software and Compilers for Embedded Systems. Stuijk, S. (ed.). New York, NY: Association for Computing Machinery (ACM), p. 1-4 4 p.

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    15 Citations (Scopus)
  • Device-Aware Test: A New Test Approach Towards DPPB Level

    Fieback, M., Wu, L., Cardoso Medeiros, G., Aziza, H., Rao, S., Marinissen, E. J., Taouil, M. & Hamdioui, S., 9 Nov 2019, 2019 IEEE International Test Conference, ITC 2019. IEEE, 10 p. 9000134. (Proceedings - International Test Conference; vol. 2019-November).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    38 Citations (Scopus)
    137 Downloads (Pure)
  • DFT Scheme for Hard-to-Detect Faults in FinFET SRAMs

    Cardoso Medeiros, G., Taouil, M., Fieback, M., Bolzani Poehls, L. M. & Hamdioui, S., 2019, Proceedings - 2019 IEEE European Test Symposium, ETS 2019: Proceedings. IEEE, Vol. 2019-May. p. 1-2 2 p. 8791517

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    8 Citations (Scopus)
    207 Downloads (Pure)
  • Efficient Methodology for ISO26262 Functional Safety Verification

    Silva, F. A. D., Bagbaba, A. C., Hamdioui, S. & Sauer, C., 1 Jul 2019, 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design, IOLTS 2019. Gizopoulos, D., Alexandrescu, D., Papavramidou, P. & Maniatakos, M. (eds.). Piscataway: IEEE, p. 255-256 2 p. 8854449. (2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design, IOLTS 2019).

    Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

    Open Access
    File
    2 Citations (Scopus)
    118 Downloads (Pure)